Skip to content

euripedesrocha/cocotb

 
 

Folders and files

NameName
Last commit message
Last commit date

Latest commit

 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 

Repository files navigation

cocotb is a coroutine based cosimulation library for writing VHDL and Verilog testbenches in Python.

Quickstart

# Install pre-requisites (waveform viewer optional)
sudo yum install -y iverilog python-devel gtkwave

# Checkout git repositories
git clone https://github.com/potentialventures/cocotb.git

# Run the tests...
cd cocotb/examples/endian_swapper/tests
make

# View the waveform
gtkwave sim_build/waveform.vcd

Tutorials and examples

About

Coroutine Co-simulation Test Bench

Resources

License

Stars

Watchers

Forks

Packages

No packages published

Languages

  • Python 50.0%
  • C 18.6%
  • C++ 17.5%
  • Makefile 7.6%
  • SystemVerilog 2.8%
  • VHDL 2.2%
  • Verilog 1.3%