int arc_ocd_assert_reset(struct target *target)
{
	struct arc32_common *arc32 = target_to_arc32(target);

	LOG_DEBUG("target->state: %s", target_state_name(target));

	enum reset_types jtag_reset_config = jtag_get_reset_config();

	if (target_has_event_action(target, TARGET_EVENT_RESET_ASSERT)) {
		/* allow scripts to override the reset event */

		target_handle_event(target, TARGET_EVENT_RESET_ASSERT);
		register_cache_invalidate(arc32->core_cache);
		/* An ARC target might be in halt state after reset, so
		 * if script requested processor to resume, then it must
		 * be manually started to ensure that this request
		 * is satisfied. */
		if (target->state == TARGET_HALTED && !target->reset_halt) {
			/* Resume the target and continue from the current
			 * PC register value. */
			LOG_DEBUG("Starting CPU execution after reset");
			CHECK_RETVAL(target_resume(target, 1, 0, 0, 0));
		}
		target->state = TARGET_RESET;

		return ERROR_OK;
	}

	/* some cores support connecting while srst is asserted
	 * use that mode is it has been configured */

	bool srst_asserted = false;

	if (!(jtag_reset_config & RESET_SRST_PULLS_TRST) &&
			(jtag_reset_config & RESET_SRST_NO_GATING)) {
		jtag_add_reset(0, 1);
		srst_asserted = true;
	}

	if (jtag_reset_config & RESET_HAS_SRST) {
		/* should issue a srst only, but we may have to assert trst as well */
		if (jtag_reset_config & RESET_SRST_PULLS_TRST)
			jtag_add_reset(1, 1);
		else if (!srst_asserted)
			jtag_add_reset(0, 1);
	}

	target->state = TARGET_RESET;
	jtag_add_sleep(50000);

	register_cache_invalidate(arc32->core_cache);

	if (target->reset_halt)
		CHECK_RETVAL(target_halt(target));

	return ERROR_OK;
}
Example #2
0
int hl_interface_init_reset(void)
{
	/* incase the adapter has not already handled asserting srst
	 * we will attempt it again */
	if (hl_if.param.connect_under_reset) {
		jtag_add_reset(0, 1);
		hl_if.layout->api->assert_srst(hl_if.handle, 0);
	} else {
		jtag_add_reset(0, 0);
	}

	return ERROR_OK;
}
Example #3
0
static int arm11_deassert_reset(struct target *target)
{
	struct arm11_common *arm11 = target_to_arm11(target);
	int retval;

	/* be certain SRST is off */
	jtag_add_reset(0, 0);

	/* WORKAROUND i.MX31 problems:  SRST goofs the TAP, and resets
	 * at least DSCR.  OMAP24xx doesn't show that problem, though
	 * SRST-only reset seems to be problematic for other reasons.
	 * (Secure boot sequences being one likelihood!)
	 */
	jtag_add_tlr();

	CHECK_RETVAL(arm11_poll(target));

	if (target->reset_halt) {
		if (target->state != TARGET_HALTED) {
			LOG_WARNING("%s: ran after reset and before halt ...",
					target_name(target));
			if ((retval = target_halt(target)) != ERROR_OK)
				return retval;
		}
	}

	/* maybe restore vector catch config */
	if (target->reset_halt && !(arm11->vcr & 1))
		CHECK_RETVAL(arm11_sc7_set_vcr(arm11, arm11->vcr));

	return ERROR_OK;
}
Example #4
0
static int arm11_assert_reset(struct target *target)
{
	struct arm11_common *arm11 = target_to_arm11(target);

	/* optionally catch reset vector */
	if (target->reset_halt && !(arm11->vcr & 1))
		CHECK_RETVAL(arm11_sc7_set_vcr(arm11, arm11->vcr | 1));

	/* Issue some kind of warm reset. */
	if (target_has_event_action(target, TARGET_EVENT_RESET_ASSERT)) {
		target_handle_event(target, TARGET_EVENT_RESET_ASSERT);
	} else if (jtag_get_reset_config() & RESET_HAS_SRST) {
		/* REVISIT handle "pulls" cases, if there's
		 * hardware that needs them to work.
		 */
		jtag_add_reset(0, 1);
	} else {
		LOG_ERROR("%s: how to reset?", target_name(target));
		return ERROR_FAIL;
	}

	/* registers are now invalid */
	register_cache_invalidate(arm11->arm.core_cache);

	target->state = TARGET_RESET;

	return ERROR_OK;
}
Example #5
0
static int xtensa_deassert_reset(struct target *target)
{
	int res;

	/* deassert reset lines */
	jtag_add_reset(0, 0);

	usleep(100000);
	res = xtensa_poll(target);
	if (res != ERROR_OK)
		return res;

	if (target->reset_halt) {
		/* TODO: work out if possible to halt on reset (I think "no" */
		res = target_halt(target);
		if (res != ERROR_OK) {
			LOG_ERROR("%s: failed to halt afte reset", __func__);
			return res;
		}
		LOG_WARNING("%s: 'reset halt' is not supported for Xtensa. "
			    "Have halted some time after resetting (not the same thing!)", __func__);
	}

	LOG_DEBUG("%s", __func__);
	return ERROR_OK;
}
Example #6
0
static int swd_init(struct command_context *ctx)
{
#if 0
	struct target *target = get_current_target(ctx);
	struct arm *arm = target_to_arm(target);
	struct adiv5_dap *dap = arm->dap;
	uint32_t idcode;
	int status;

	/* FIXME validate transport config ... is the
	 * configured DAP present (check IDCODE)?
	 * Is *only* one DAP configured?
	 *
	 * MUST READ IDCODE
	 */

 /* Note, debugport_init() does setup too */

	uint8_t ack;

	status = swd_queue_idcode_read(dap, &ack, &idcode);

	if (status == ERROR_OK)
		LOG_INFO("SWD IDCODE %#8.8x", idcode);

	return status;

#endif
	int retval;
	jtag_add_reset(0, 0);
	if ((retval = jtag_execute_queue()) != ERROR_OK)
		return retval;
	return ERROR_OK;
}
Example #7
0
int arc_ocd_assert_reset(struct target *target)
{
	struct arc32_common *arc32 = target_to_arc32(target);

	LOG_DEBUG("target->state: %s", target_state_name(target));

	enum reset_types jtag_reset_config = jtag_get_reset_config();

	if (target_has_event_action(target, TARGET_EVENT_RESET_ASSERT)) {
		/* allow scripts to override the reset event */

		target_handle_event(target, TARGET_EVENT_RESET_ASSERT);
		register_cache_invalidate(arc32->core_cache);
		target->state = TARGET_RESET;

		return ERROR_OK;
	}

	/* some cores support connecting while srst is asserted
	 * use that mode is it has been configured */

	bool srst_asserted = false;

	if (!(jtag_reset_config & RESET_SRST_PULLS_TRST) &&
			(jtag_reset_config & RESET_SRST_NO_GATING)) {
		jtag_add_reset(0, 1);
		srst_asserted = true;
	}

	if (jtag_reset_config & RESET_HAS_SRST) {
		/* should issue a srst only, but we may have to assert trst as well */
		if (jtag_reset_config & RESET_SRST_PULLS_TRST)
			jtag_add_reset(1, 1);
		else if (!srst_asserted)
			jtag_add_reset(0, 1);
	}

	target->state = TARGET_RESET;
	jtag_add_sleep(50000);

	register_cache_invalidate(arc32->core_cache);

	if (target->reset_halt)
		CHECK_RETVAL(target_halt(target));

	return ERROR_OK;
}
Example #8
0
int arc_ocd_deassert_reset(struct target *target)
{
	LOG_DEBUG("target->state: %s", target_state_name(target));

	/* deassert reset lines */
	jtag_add_reset(0, 0);

	return ERROR_OK;
}
Example #9
0
static int xtensa_assert_reset(struct target *target)
{
	struct xtensa_common *xtensa = target_to_xtensa(target);
	enum reset_types jtag_reset_config = jtag_get_reset_config();

	if (jtag_reset_config & RESET_HAS_SRST) {
		/* default to asserting srst */
		if (jtag_reset_config & RESET_SRST_PULLS_TRST)
			jtag_add_reset(1, 1);
		else
			jtag_add_reset(0, 1);
	}

	target->state = TARGET_RESET;
	jtag_add_sleep(5000);

	register_cache_invalidate(xtensa->core_cache);

	LOG_DEBUG("%s", __func__);
	return ERROR_OK;
}
Example #10
0
static int cmsis_dap_init(struct command_context *ctx)
{
	struct target *target = get_current_target(ctx);
	struct arm *arm = target_to_arm(target);
	struct adiv5_dap *dap = arm->dap;
	uint32_t idcode;
	int status;

	LOG_DEBUG("CMSIS-ADI: cmsis_dap_init");

	/* Force the DAP's ops vector for CMSIS-DAP mode.
	 * messy - is there a better way? */
	arm->dap->ops = &cmsis_dap_ops;

	/* FIXME validate transport config ... is the
	 * configured DAP present (check IDCODE)?
	 * Is *only* one DAP configured?
	 *
	 * MUST READ IDCODE
	 */

	/* Note, debugport_init() does setup too */

#if 0
	const struct swd_driver *swd = jtag_interface->swd;
	if (!swd || !swd->read_reg || !swd->write_reg || !swd->init) {
		LOG_ERROR("no SWD driver?");
		return ERROR_FAIL;
	}

	int retval = swd->init(1);
	if (retval != ERROR_OK) {
		LOG_ERROR("unable to init CMSIS-DAP driver");
		return retval;
	}
#endif

	uint8_t ack;

	status = cmsis_dap_queue_idcode_read(dap, &ack, &idcode);

	if (status == ERROR_OK)
		LOG_INFO("IDCODE 0x%08" PRIx32, idcode);

	/* force clear all sticky faults */
	cmsis_dap_queue_ap_abort(dap, &ack);

	/* this is a workaround to get polling working */
	jtag_add_reset(0, 0);

	return status;
}
Example #11
0
static int arm11_assert_reset(struct target *target)
{
	struct arm11_common *arm11 = target_to_arm11(target);

	if (!(target_was_examined(target))) {
		if (jtag_get_reset_config() & RESET_HAS_SRST)
			jtag_add_reset(0, 1);
		else {
			LOG_WARNING("Reset is not asserted because the target is not examined.");
			LOG_WARNING("Use a reset button or power cycle the target.");
			return ERROR_TARGET_NOT_EXAMINED;
		}
	} else {

		/* optionally catch reset vector */
		if (target->reset_halt && !(arm11->vcr & 1))
			CHECK_RETVAL(arm11_sc7_set_vcr(arm11, arm11->vcr | 1));

		/* Issue some kind of warm reset. */
		if (target_has_event_action(target, TARGET_EVENT_RESET_ASSERT))
			target_handle_event(target, TARGET_EVENT_RESET_ASSERT);
		else if (jtag_get_reset_config() & RESET_HAS_SRST) {
			/* REVISIT handle "pulls" cases, if there's
			 * hardware that needs them to work.
			 */
			jtag_add_reset(0, 1);
		} else {
			LOG_ERROR("%s: how to reset?", target_name(target));
			return ERROR_FAIL;
		}
	}

	/* registers are now invalid */
	register_cache_invalidate(arm11->arm.core_cache);

	target->state = TARGET_RESET;

	return ERROR_OK;
}
Example #12
0
static int handle_xsvf_command(struct command_context_s *cmd_ctx, char *cmd, char **args, int argc)
{
	u8 *dr_out_buf = NULL; 				/* from host to device (TDI) */
	u8 *dr_in_buf = NULL;				/* from device to host (TDO) */
	u8 *dr_in_mask = NULL;

	int xsdrsize = 0;
	int xruntest = 0;					/* number of TCK cycles OR microseconds */
	int xrepeat	 = 0;					/* number of retries */

	tap_state_t	xendir = TAP_IDLE;		/* see page 8 of the SVF spec, initial xendir to be TAP_IDLE */
	tap_state_t xenddr = TAP_IDLE;

	u8  		opcode;
	u8		uc;
	long		file_offset = 0;

	int		loop_count = 0;
	tap_state_t	loop_state = TAP_IDLE;
	int		loop_clocks = 0;
	int		loop_usecs = 0;

	int 		do_abort = 0;
	int 		unsupported = 0;
	int 		tdo_mismatch = 0;
	int 		result;
	int		verbose = 1;
	char*	filename;

	int 		runtest_requires_tck = 0;	/* a flag telling whether to clock TCK during waits, or simply sleep, controled by virt2 */


	/* use NULL to indicate a "plain" xsvf file which accounts for
	   additional devices in the scan chain, otherwise the device
	   that should be affected
	*/
	jtag_tap_t *tap = NULL;

	if (argc < 2)
	{
		command_print(cmd_ctx, "usage: xsvf <device#|plain> <file> [<variant>] [quiet]");
		return ERROR_FAIL;
	}

	filename = args[1];		/* we mess with args starting point below, snapshot filename here */

	if (strcmp(args[0], "plain") != 0)
	{
		tap = jtag_TapByString( args[0] );
		if (!tap )
		{
			command_print( cmd_ctx, "Tap: %s unknown", args[0] );
			return ERROR_FAIL;
		}
	}

	if ((xsvf_fd = open(filename, O_RDONLY)) < 0)
	{
		command_print(cmd_ctx, "file \"%s\" not found", filename);
		return ERROR_FAIL;
	}

	/* if this argument is present, then interpret xruntest counts as TCK cycles rather than as usecs */
	if ((argc > 2) && (strcmp(args[2], "virt2") == 0))
	{
		runtest_requires_tck = 1;
		--argc;
		++args;
	}

	if ((argc > 2) && (strcmp(args[2], "quiet") == 0))
	{
		verbose = 0;
	}

	LOG_USER("xsvf processing file: \"%s\"", filename);

	while( read(xsvf_fd, &opcode, 1) > 0 )
	{
		/* record the position of the just read opcode within the file */
		file_offset = lseek(xsvf_fd, 0, SEEK_CUR) - 1;

		switch (opcode)
		{
			case XCOMPLETE:
				LOG_DEBUG("XCOMPLETE");

				result = jtag_execute_queue();
				if (result != ERROR_OK)
				{
					tdo_mismatch = 1;
					break;
				}
				break;

			case XTDOMASK:
				LOG_DEBUG("XTDOMASK");
				if (dr_in_mask && (xsvf_read_buffer(xsdrsize, xsvf_fd, dr_in_mask) != ERROR_OK))
					do_abort = 1;
				break;

			case XRUNTEST:
				{
					u8	xruntest_buf[4];

					if (read(xsvf_fd, xruntest_buf, 4) < 0)
					{
						do_abort = 1;
						break;
					}

					xruntest = be_to_h_u32(xruntest_buf);
					LOG_DEBUG("XRUNTEST %d 0x%08X", xruntest, xruntest);
				}
				break;

			case XREPEAT:
				{
					u8 myrepeat;

					if (read(xsvf_fd, &myrepeat, 1) < 0)
						do_abort = 1;
					else
					{
						xrepeat = myrepeat;
						LOG_DEBUG("XREPEAT %d", xrepeat );
					}
				}
				break;

			case XSDRSIZE:
				{
					u8	xsdrsize_buf[4];

					if (read(xsvf_fd, xsdrsize_buf, 4) < 0)
					{
						do_abort = 1;
						break;
					}

					xsdrsize = be_to_h_u32(xsdrsize_buf);
					LOG_DEBUG("XSDRSIZE %d", xsdrsize);

					if( dr_out_buf ) free(dr_out_buf);
					if( dr_in_buf)   free(dr_in_buf);
					if( dr_in_mask)  free(dr_in_mask);

					dr_out_buf = malloc((xsdrsize + 7) / 8);
					dr_in_buf = malloc((xsdrsize + 7) / 8);
					dr_in_mask = malloc((xsdrsize + 7) / 8);
				}
				break;

			case XSDR:		/* these two are identical except for the dr_in_buf */
			case XSDRTDO:
				{
					int limit = xrepeat;
					int	matched = 0;
					int attempt;

					const char* op_name = (opcode == XSDR ? "XSDR" : "XSDRTDO");

					if (xsvf_read_buffer(xsdrsize, xsvf_fd, dr_out_buf) != ERROR_OK)
					{
						do_abort = 1;
						break;
					}

					if (opcode == XSDRTDO)
					{
						if(xsvf_read_buffer(xsdrsize, xsvf_fd, dr_in_buf)  != ERROR_OK )
						{
							do_abort = 1;
							break;
						}
					}

					if (limit < 1)
						limit = 1;

					LOG_DEBUG("%s %d", op_name, xsdrsize);

					for( attempt=0; attempt<limit;  ++attempt )
					{
						scan_field_t field;

						if( attempt>0 )
						{
							/* perform the XC9500 exception handling sequence shown in xapp067.pdf and
							   illustrated in psuedo code at end of this file.  We start from state
							   DRPAUSE:
							   go to Exit2-DR
							   go to Shift-DR
							   go to Exit1-DR
							   go to Update-DR
							   go to Run-Test/Idle

							   This sequence should be harmless for other devices, and it
							   will be skipped entirely if xrepeat is set to zero.
							*/

							static tap_state_t exception_path[] = {
								TAP_DREXIT2,
								TAP_DRSHIFT,
								TAP_DREXIT1,
								TAP_DRUPDATE,
								TAP_IDLE,
							};

							jtag_add_pathmove( sizeof(exception_path)/sizeof(exception_path[0]), exception_path);

							if (verbose)
								LOG_USER("%s %d retry %d", op_name, xsdrsize, attempt);
						}

						field.tap = tap;
						field.num_bits = xsdrsize;
						field.out_value = dr_out_buf;
						field.out_mask = NULL;
						field.in_value = NULL;

						jtag_set_check_value(&field, dr_in_buf, dr_in_mask, NULL);

						if (tap == NULL)
							jtag_add_plain_dr_scan(1, &field, TAP_DRPAUSE);
						else
							jtag_add_dr_scan(1, &field, TAP_DRPAUSE);

						/* LOG_DEBUG("FLUSHING QUEUE"); */
						result = jtag_execute_queue();
						if (result == ERROR_OK)
						{
							matched = 1;
							break;
						}
					}

					if (!matched)
					{
						LOG_USER( "%s mismatch", op_name);
						tdo_mismatch = 1;
						break;
					}

					/* See page 19 of XSVF spec regarding opcode "XSDR" */
					if (xruntest)
					{
						xsvf_add_statemove(TAP_IDLE);

						if (runtest_requires_tck)
							jtag_add_clocks(xruntest);
						else
							jtag_add_sleep(xruntest);
					}
					else if (xendir != TAP_DRPAUSE)	/* we are already in TAP_DRPAUSE */
						xsvf_add_statemove(xenddr);
				}
				break;

			case XSETSDRMASKS:
				LOG_ERROR("unsupported XSETSDRMASKS\n");
				unsupported = 1;
				break;

			case XSDRINC:
				LOG_ERROR("unsupported XSDRINC\n");
				unsupported = 1;
				break;

			case XSDRB:
				LOG_ERROR("unsupported XSDRB\n");
				unsupported = 1;
				break;

			case XSDRC:
				LOG_ERROR("unsupported XSDRC\n");
				unsupported = 1;
				break;

			case XSDRE:
				LOG_ERROR("unsupported XSDRE\n");
				unsupported = 1;
				break;

			case XSDRTDOB:
				LOG_ERROR("unsupported XSDRTDOB\n");
				unsupported = 1;
				break;

			case XSDRTDOC:
				LOG_ERROR("unsupported XSDRTDOC\n");
				unsupported = 1;
				break;

			case XSDRTDOE:
				LOG_ERROR("unsupported XSDRTDOE\n");
				unsupported = 1;
				break;

			case XSTATE:
				{
					tap_state_t	mystate;
					tap_state_t *path;
					int path_len;

					if (read(xsvf_fd, &uc, 1) < 0)
					{
						do_abort = 1;
						break;
					}

					mystate = xsvf_to_tap(uc);

					LOG_DEBUG("XSTATE 0x%02X %s", uc, tap_state_name(mystate) );

					path = calloc(XSTATE_MAX_PATH, 4);
					path_len = 1;

					path[0] = mystate;
					if (xsvf_read_xstates(xsvf_fd, path, XSTATE_MAX_PATH, &path_len) != ERROR_OK)
						do_abort = 1;
					else
					{
						int i,lasti;

						/* here the trick is that jtag_add_pathmove() must end in a stable
						 * state, so we must only invoke jtag_add_tlr() when we absolutely
						 * have to
						 */
						for(i=0,lasti=0;  i<path_len;  i++)
						{
							if(path[i]==TAP_RESET)
							{
								if(i>lasti)
								{
									jtag_add_pathmove(i-lasti,path+lasti);
								}
								lasti=i+1;
								jtag_add_tlr();
							}
						}
						if(i>=lasti)
						{
							jtag_add_pathmove(i-lasti, path+lasti);
						}
					}
					free(path);
				}
				break;

			case XENDIR:
				{
					tap_state_t	 mystate;

					if (read(xsvf_fd, &uc, 1) < 0)
					{
						do_abort = 1;
						break;
					}

					/* see page 22 of XSVF spec */
					mystate = uc == 1 ? TAP_IRPAUSE : TAP_IDLE;

					LOG_DEBUG("XENDIR 0x%02X %s", uc, tap_state_name(mystate));

					/* assuming that the XRUNTEST comes from SVF RUNTEST, then only these states
					 * should come here because the SVF spec only allows these with a RUNTEST
					 */
					if (mystate != TAP_IRPAUSE && mystate != TAP_DRPAUSE && mystate != TAP_RESET && mystate != TAP_IDLE )
					{
						LOG_ERROR("illegal XENDIR endstate: \"%s\"", tap_state_name(mystate));
						unsupported = 1;
						break;
					}
					xendir = mystate;
				}
				break;

			case XENDDR:
				{
					tap_state_t	 mystate;

					if (read(xsvf_fd, &uc, 1) < 0)
					{
						do_abort = 1;
						break;
					}

					/* see page 22 of XSVF spec */
					mystate = uc == 1 ? TAP_DRPAUSE : TAP_IDLE;

					LOG_DEBUG("XENDDR %02X %s", uc, tap_state_name(mystate));

					if (mystate != TAP_IRPAUSE && mystate != TAP_DRPAUSE && mystate != TAP_RESET && mystate != TAP_IDLE )
					{
						LOG_ERROR("illegal XENDDR endstate: \"%s\"", tap_state_name( mystate ));
						unsupported = 1;
						break;
					}
					xenddr = mystate;
				}
				break;

			case XSIR:
			case XSIR2:
				{
					u8	short_buf[2];
					u8*	ir_buf;
					int bitcount;
					tap_state_t my_end_state = xruntest ? TAP_IDLE : xendir;

					if( opcode == XSIR )
					{
						/* one byte bitcount */
						if (read(xsvf_fd, short_buf, 1) < 0)
						{
							do_abort = 1;
							break;
						}
						bitcount = short_buf[0];
						LOG_DEBUG("XSIR %d", bitcount);
					}
					else
					{
						if (read(xsvf_fd, short_buf, 2) < 0)
						{
							do_abort = 1;
							break;
						}
						bitcount = be_to_h_u16(short_buf);
						LOG_DEBUG("XSIR2 %d", bitcount);
					}

					ir_buf = malloc((bitcount+7) / 8);

					if (xsvf_read_buffer(bitcount, xsvf_fd, ir_buf) != ERROR_OK)
						do_abort = 1;
					else
					{
						scan_field_t field;

						field.tap = tap;
						field.num_bits = bitcount;
						field.out_value = ir_buf;
						field.out_mask = NULL;
						field.in_value = NULL;
						field.in_check_value = NULL;
						field.in_check_mask = NULL;
						field.in_handler = NULL;
						field.in_handler_priv = NULL;

						if (tap == NULL)
							jtag_add_plain_ir_scan(1, &field, my_end_state);
						else
							jtag_add_ir_scan(1, &field, my_end_state);

						if (xruntest)
						{
							if (runtest_requires_tck)
								jtag_add_clocks(xruntest);
							else
								jtag_add_sleep(xruntest);
						}

						/* Note that an -irmask of non-zero in your config file
						 * can cause this to fail.  Setting -irmask to zero cand work
						 * around the problem.
						 */

						/* LOG_DEBUG("FLUSHING QUEUE"); */
						result = jtag_execute_queue();
						if(result != ERROR_OK)
						{
							tdo_mismatch = 1;
						}
					}
					free(ir_buf);
				}
				break;

			case XCOMMENT:
				{
					int		ndx = 0;
					char 	comment[128];

					do
					{
						if (read(xsvf_fd, &uc, 1) < 0)
						{
							do_abort = 1;
							break;
						}

						if ( ndx < sizeof(comment)-1 )
							comment[ndx++] = uc;

					} while (uc != 0);

					comment[sizeof(comment)-1] = 0;		/* regardless, terminate */
					if (verbose)
						LOG_USER(comment);
				}
				break;

			case XWAIT:
				{
					/* expected in stream:
					   XWAIT <u8 wait_state> <u8 end_state> <u32 usecs>
					*/

					u8	wait;
					u8	end;
					u8	delay_buf[4];

					tap_state_t wait_state;
					tap_state_t end_state;
					int 	delay;

					if ( read(xsvf_fd, &wait, 1) < 0
					  || read(xsvf_fd, &end, 1) < 0
					  || read(xsvf_fd, delay_buf, 4) < 0)
					{
						do_abort = 1;
						break;
					}

					wait_state = xsvf_to_tap(wait);
					end_state  = xsvf_to_tap(end);
					delay      = be_to_h_u32(delay_buf);

					LOG_DEBUG("XWAIT %s %s usecs:%d", tap_state_name(wait_state), tap_state_name(end_state), delay);

					if (runtest_requires_tck && wait_state == TAP_IDLE )
					{
						jtag_add_runtest(delay, end_state);
					}
					else
					{
						xsvf_add_statemove( wait_state );
						jtag_add_sleep(delay);
						xsvf_add_statemove( end_state );
					}
				}
				break;

			case XWAITSTATE:
				{
					/* expected in stream:
					   XWAITSTATE <u8 wait_state> <u8 end_state> <u32 clock_count> <u32 usecs>
					*/

					u8  clock_buf[4];
					u8  	usecs_buf[4];
					u8	wait;
					u8	end;
					tap_state_t wait_state;
					tap_state_t end_state;
					int clock_count;
					int usecs;

					if ( read(xsvf_fd, &wait, 1) < 0
					 ||  read(xsvf_fd, &end, 1) < 0
					 ||  read(xsvf_fd, clock_buf, 4) < 0
					 ||  read(xsvf_fd, usecs_buf, 4) < 0 )
					{
						do_abort = 1;
						break;
					}

					wait_state = xsvf_to_tap( wait );
					end_state  = xsvf_to_tap( end );

					clock_count = be_to_h_u32(clock_buf);
					usecs       = be_to_h_u32(usecs_buf);

					LOG_DEBUG("XWAITSTATE %s %s clocks:%i usecs:%i",
						tap_state_name(wait_state),
						tap_state_name(end_state),
						clock_count, usecs);

					/* the following states are 'stable', meaning that they have a transition
					 * in the state diagram back to themselves.  This is necessary because we will
					 * be issuing a number of clocks in this state.  This set of allowed states is also
					 * determined by the SVF RUNTEST command's allowed states.
					 */
					if (wait_state != TAP_IRPAUSE && wait_state != TAP_DRPAUSE && wait_state != TAP_RESET && wait_state != TAP_IDLE)
					{
						LOG_ERROR("illegal XWAITSTATE wait_state: \"%s\"", tap_state_name( wait_state ));
						unsupported = 1;
					}

					xsvf_add_statemove( wait_state );

					jtag_add_clocks( clock_count );

					jtag_add_sleep( usecs );

					xsvf_add_statemove( end_state );
				}
				break;

			case LCOUNT:
				{
					/* expected in stream:
					   LCOUNT <u32 loop_count>
					*/
					u8  count_buf[4];

					if ( read(xsvf_fd, count_buf, 4) < 0 )
					{
						do_abort = 1;
						break;
					}

					loop_count = be_to_h_u32(count_buf);
					LOG_DEBUG("LCOUNT %d", loop_count);
				}
				break;

			case LDELAY:
				{
					/* expected in stream:
					   LDELAY <u8 wait_state> <u32 clock_count> <u32 usecs_to_sleep>
					*/
					u8	state;
					u8  clock_buf[4];
					u8  usecs_buf[4];

					if ( read(xsvf_fd, &state, 1) < 0
					  || read(xsvf_fd, clock_buf, 4) < 0
					  ||	 read(xsvf_fd, usecs_buf, 4) < 0 )
					{
						do_abort = 1;
						break;
					}

					loop_state  = xsvf_to_tap(state);
					loop_clocks = be_to_h_u32(clock_buf);
					loop_usecs  = be_to_h_u32(usecs_buf);

					LOG_DEBUG("LDELAY %s clocks:%d usecs:%d", tap_state_name(loop_state), loop_clocks, loop_usecs);
				}
				break;

			/* LSDR is more like XSDRTDO than it is like XSDR.  It uses LDELAY which
			 * comes with clocks !AND! sleep requirements.
			 */
			case LSDR:
				{
					int limit = loop_count;
					int matched = 0;
					int attempt;

					LOG_DEBUG("LSDR");

					if ( xsvf_read_buffer(xsdrsize, xsvf_fd, dr_out_buf) != ERROR_OK
					  || xsvf_read_buffer(xsdrsize, xsvf_fd, dr_in_buf) != ERROR_OK )
					{
						do_abort = 1;
						break;
					}

					if (limit < 1)
						limit = 1;

					for( attempt=0; attempt<limit;  ++attempt )
					{
						scan_field_t field;

						xsvf_add_statemove( loop_state );
						jtag_add_clocks(loop_clocks);
						jtag_add_sleep(loop_usecs);

						field.tap = tap;
						field.num_bits = xsdrsize;
						field.out_value = dr_out_buf;
						field.out_mask = NULL;
						field.in_value = NULL;

						if (attempt > 0 && verbose)
							LOG_USER("LSDR retry %d", attempt);

						jtag_set_check_value(&field, dr_in_buf, dr_in_mask, NULL);
						if (tap == NULL)
							jtag_add_plain_dr_scan(1, &field, TAP_DRPAUSE);
						else
							jtag_add_dr_scan(1, &field, TAP_DRPAUSE);

						/* LOG_DEBUG("FLUSHING QUEUE"); */
						result = jtag_execute_queue();
						if(result == ERROR_OK)
						{
							matched = 1;
							break;
						}
					}

					if (!matched )
					{
						LOG_USER( "LSDR mismatch" );
						tdo_mismatch = 1;
						break;
					}
				}
				break;

			case XTRST:
				{
					u8	trst_mode;

					if (read(xsvf_fd, &trst_mode, 1) < 0)
					{
						do_abort = 1;
						break;
					}

					switch( trst_mode )
					{
					case XTRST_ON:
						jtag_add_reset(1, 0);
						break;
					case XTRST_OFF:
					case XTRST_Z:
						jtag_add_reset(0, 0);
						break;
					case XTRST_ABSENT:
						break;
					default:
						LOG_ERROR( "XTRST mode argument (0x%02X) out of range", trst_mode );
						do_abort = 1;
					}
				}
				break;

			default:
				LOG_ERROR("unknown xsvf command (0x%02X)\n", uc);
				unsupported = 1;
		}

		if (do_abort || unsupported || tdo_mismatch)
		{
			LOG_DEBUG("xsvf failed, setting taps to reasonable state");

			/* upon error, return the TAPs to a reasonable state */
			xsvf_add_statemove( TAP_IDLE );
			jtag_execute_queue();
			break;
		}
	}

	if (tdo_mismatch)
	{
		command_print(cmd_ctx, "TDO mismatch, somewhere near offset %lu in xsvf file, aborting",
					  file_offset );


		return ERROR_FAIL;
	}

	if (unsupported)
	{
		command_print(cmd_ctx,
			 "unsupported xsvf command: 0x%02X in xsvf file at offset %ld, aborting",
					  uc,  lseek(xsvf_fd, 0, SEEK_CUR)-1 );
		return ERROR_FAIL;
	}

	if (do_abort)
	{
		command_print(cmd_ctx, "premature end of xsvf file detected, aborting");
		return ERROR_FAIL;
	}

	if (dr_out_buf)
		free(dr_out_buf);

	if (dr_in_buf)
		free(dr_in_buf);

	if (dr_in_mask)
		free(dr_in_mask);

	close(xsvf_fd);

	command_print(cmd_ctx, "XSVF file programmed successfully");

	return ERROR_OK;
}