Exemple #1
0
// We push the sc_module instance onto the stack of open objects so 
// that any objects that are created in before_end_of_elaboration have
// the proper parent. After the call we pop the hierarchy.
void
sc_module::construction_done()
{
    simcontext()->hierarchy_push( this );
    before_end_of_elaboration();
    simcontext()->hierarchy_pop();
}
Exemple #2
0
void
sc_port_base::construction_done()
{
    sc_module* parent = static_cast<sc_module*>( get_parent_object() );
    sc_object::hierarchy_scope scope( parent );
    before_end_of_elaboration();
}
Exemple #3
0
void
sc_port_base::construction_done()
{
    before_end_of_elaboration();
}
Exemple #4
0
// We push the sc_module instance onto the stack of open objects so 
// that any objects that are created in before_end_of_elaboration have
// the proper parent. After the call we pop the hierarchy.
void
sc_module::construction_done()
{
    hierarchy_scope scope(this);
    before_end_of_elaboration();
}