char *lmb_bram_if_cntlr_v2_10_b_a_0615717676_3306564128_sub_2336707405056216367_229454594(char *t1, char *t2, int t3, int t4, int t5, int t6, char *t7)
{
    char t8[368];
    char t9[40];
    char t10[16];
    char t16[16];
    char t22[16];
    char t29[8];
    char t32[16];
    char t38[16];
    char t79[16];
    char t80[16];
    char *t0;
    char *t11;
    char *t12;
    int t13;
    unsigned int t14;
    char *t15;
    char *t17;
    char *t18;
    int t19;
    char *t20;
    char *t21;
    char *t23;
    char *t24;
    char *t25;
    char *t26;
    char *t27;
    char *t28;
    char *t30;
    char *t31;
    char *t33;
    char *t34;
    int t35;
    char *t36;
    char *t37;
    char *t39;
    char *t40;
    char *t41;
    char *t42;
    char *t43;
    char *t44;
    char *t45;
    char *t46;
    char *t47;
    char *t48;
    unsigned char t49;
    char *t50;
    int t51;
    int t52;
    unsigned char t53;
    int t54;
    unsigned char t55;
    int t56;
    int t57;
    unsigned char t58;
    int t59;
    int t60;
    char *t61;
    int t62;
    char *t63;
    int t64;
    int t65;
    char *t66;
    int t67;
    unsigned int t68;
    unsigned int t69;
    char *t70;
    unsigned char t71;
    int t72;
    char *t73;
    char *t74;
    int t75;
    unsigned int t76;
    unsigned int t77;
    unsigned int t78;
    unsigned int t81;
    unsigned int t82;
    unsigned int t83;
    unsigned int t84;
    unsigned int t85;
    unsigned int t86;
    unsigned int t87;

LAB0:    t11 = (t10 + 0U);
    t12 = (t11 + 0U);
    *((int *)t12) = 0;
    t12 = (t11 + 4U);
    *((int *)t12) = 31;
    t12 = (t11 + 8U);
    *((int *)t12) = 1;
    t13 = (31 - 0);
    t14 = (t13 * 1);
    t14 = (t14 + 1);
    t12 = (t11 + 12U);
    *((unsigned int *)t12) = t14;
    t12 = (t1 + 8292);
    t17 = (t16 + 0U);
    t18 = (t17 + 0U);
    *((int *)t18) = 15;
    t18 = (t17 + 4U);
    *((int *)t18) = 0;
    t18 = (t17 + 8U);
    *((int *)t18) = -1;
    t19 = (0 - 15);
    t14 = (t19 * -1);
    t14 = (t14 + 1);
    t18 = (t17 + 12U);
    *((unsigned int *)t18) = t14;
    t18 = (t8 + 4U);
    t20 = ((STD_STANDARD) + 1080);
    t21 = (t18 + 88U);
    *((char **)t21) = t20;
    t23 = (t18 + 56U);
    *((char **)t23) = t22;
    memcpy(t22, t12, 16U);
    t24 = (t18 + 64U);
    *((char **)t24) = t16;
    t25 = (t18 + 80U);
    *((unsigned int *)t25) = 16U;
    t26 = (t8 + 124U);
    t27 = ((STD_STANDARD) + 384);
    t28 = (t26 + 88U);
    *((char **)t28) = t27;
    t30 = (t26 + 56U);
    *((char **)t30) = t29;
    *((int *)t29) = 0;
    t31 = (t26 + 80U);
    *((unsigned int *)t31) = 4U;
    t33 = (t32 + 0U);
    t34 = (t33 + 0U);
    *((int *)t34) = 3;
    t34 = (t33 + 4U);
    *((int *)t34) = 0;
    t34 = (t33 + 8U);
    *((int *)t34) = -1;
    t35 = (0 - 3);
    t14 = (t35 * -1);
    t14 = (t14 + 1);
    t34 = (t33 + 12U);
    *((unsigned int *)t34) = t14;
    t34 = (t8 + 244U);
    t36 = (t1 + 4944);
    t37 = (t34 + 88U);
    *((char **)t37) = t36;
    t39 = (t34 + 56U);
    *((char **)t39) = t38;
    xsi_type_set_default_value(t36, t38, 0);
    t40 = (t34 + 64U);
    t41 = (t36 + 72U);
    t42 = *((char **)t41);
    *((char **)t40) = t42;
    t43 = (t34 + 80U);
    *((unsigned int *)t43) = 16U;
    t44 = (t9 + 4U);
    *((int *)t44) = t3;
    t45 = (t9 + 8U);
    *((int *)t45) = t4;
    t46 = (t9 + 12U);
    *((int *)t46) = t5;
    t47 = (t9 + 16U);
    *((int *)t47) = t6;
    t48 = (t9 + 20U);
    t49 = (t7 != 0);
    if (t49 == 1)
        goto LAB3;

LAB2:    t50 = (t9 + 28U);
    *((char **)t50) = t10;
    t51 = 0;
    t52 = 3;

LAB4:    if (t51 <= t52)
        goto LAB5;

LAB7:    t11 = (t34 + 56U);
    t12 = *((char **)t11);
    t13 = (3 - 3);
    t14 = (t13 * -1);
    t68 = (4U * t14);
    t69 = (0 + t68);
    t11 = (t12 + t69);
    t19 = *((int *)t11);
    t35 = (t19 * 8);
    t15 = (t34 + 56U);
    t17 = *((char **)t15);
    t51 = (2 - 3);
    t76 = (t51 * -1);
    t77 = (4U * t76);
    t78 = (0 + t77);
    t15 = (t17 + t78);
    t52 = *((int *)t15);
    t54 = (t52 * 4);
    t56 = (t35 + t54);
    t20 = (t34 + 56U);
    t21 = *((char **)t20);
    t57 = (1 - 3);
    t81 = (t57 * -1);
    t82 = (4U * t81);
    t83 = (0 + t82);
    t20 = (t21 + t83);
    t59 = *((int *)t20);
    t60 = (t59 * 2);
    t62 = (t56 + t60);
    t23 = (t34 + 56U);
    t24 = *((char **)t23);
    t64 = (0 - 3);
    t84 = (t64 * -1);
    t85 = (4U * t84);
    t86 = (0 + t85);
    t23 = (t24 + t86);
    t65 = *((int *)t23);
    t67 = (t65 * 1);
    t72 = (t62 + t67);
    t75 = xsi_vhdl_pow(2, t72);
    t25 = ieee_p_3499444699_sub_17544701978858283880_3536714472(IEEE_P_3499444699, t80, t75, 16);
    t27 = ieee_p_2592010699_sub_12303121079769504865_503743352(IEEE_P_2592010699, t79, t25, t80, (unsigned char)0);
    t28 = (t18 + 56U);
    t30 = *((char **)t28);
    t28 = (t30 + 0);
    t31 = (t79 + 12U);
    t87 = *((unsigned int *)t31);
    t87 = (t87 * 1U);
    memcpy(t28, t27, t87);
    t11 = (t18 + 56U);
    t12 = *((char **)t11);
    t11 = (t16 + 12U);
    t14 = *((unsigned int *)t11);
    t14 = (t14 * 1U);
    t0 = xsi_get_transient_memory(t14);
    memcpy(t0, t12, t14);
    t15 = (t16 + 0U);
    t13 = *((int *)t15);
    t17 = (t16 + 4U);
    t19 = *((int *)t17);
    t20 = (t16 + 8U);
    t35 = *((int *)t20);
    t21 = (t2 + 0U);
    t23 = (t21 + 0U);
    *((int *)t23) = t13;
    t23 = (t21 + 4U);
    *((int *)t23) = t19;
    t23 = (t21 + 8U);
    *((int *)t23) = t35;
    t51 = (t19 - t13);
    t68 = (t51 * t35);
    t68 = (t68 + 1);
    t23 = (t21 + 12U);
    *((unsigned int *)t23) = t68;

LAB1:    return t0;
LAB3:    *((char **)t48) = t7;
    goto LAB2;

LAB5:    t54 = (t5 - 1);
    t55 = (t3 < t54);
    if (t55 == 1)
        goto LAB11;

LAB12:    t56 = (t4 - 1);
    t57 = xsi_vhdl_mod(t56, 4);
    t58 = (t51 <= t57);
    t53 = t58;

LAB13:    if (t53 != 0)
        goto LAB8;

LAB10:    t11 = (t34 + 56U);
    t12 = *((char **)t11);
    t13 = (t51 - 3);
    t14 = (t13 * -1);
    xsi_vhdl_check_range_of_index(3, 0, -1, t51);
    t68 = (4U * t14);
    t69 = (0 + t68);
    t11 = (t12 + t69);
    *((int *)t11) = 0;

LAB9:
LAB6:    if (t51 == t52)
        goto LAB7;

LAB14:    t13 = (t51 + 1);
    t51 = t13;
    goto LAB4;

LAB8:    t59 = (t3 * 4);
    t60 = (t59 + t51);
    t61 = (t10 + 0U);
    t62 = *((int *)t61);
    t63 = (t10 + 8U);
    t64 = *((int *)t63);
    t65 = (t60 - t62);
    t14 = (t65 * t64);
    t66 = (t10 + 4U);
    t67 = *((int *)t66);
    xsi_vhdl_check_range_of_index(t62, t67, t64, t60);
    t68 = (1U * t14);
    t69 = (0 + t68);
    t70 = (t7 + t69);
    t71 = *((unsigned char *)t70);
    t72 = ieee_std_logic_arith_conv_integer_ulogic(IEEE_P_3499444699, t71);
    t73 = (t34 + 56U);
    t74 = *((char **)t73);
    t75 = (t51 - 3);
    t76 = (t75 * -1);
    xsi_vhdl_check_range_of_index(3, 0, -1, t51);
    t77 = (4U * t76);
    t78 = (0 + t77);
    t73 = (t74 + t78);
    *((int *)t73) = t72;
    goto LAB9;

LAB11:    t53 = (unsigned char)1;
    goto LAB13;

LAB15:;
}
static void work_a_0588827742_0142608284_p_0(char *t0)
{
    char t17[16];
    char *t1;
    unsigned char t2;
    char *t3;
    char *t4;
    unsigned char t5;
    unsigned char t6;
    char *t7;
    char *t8;
    char *t9;
    char *t10;
    char *t11;
    char *t12;
    int t13;
    int t14;
    int t15;
    int t16;
    unsigned int t18;

LAB0:    xsi_set_current_line(26, ng0);
    t1 = (t0 + 1152U);
    t2 = ieee_p_2592010699_sub_2763492388968962707_503743352(IEEE_P_2592010699, t1, 0U, 0U);
    if (t2 != 0)
        goto LAB2;

LAB4:
LAB3:    t1 = (t0 + 3944);
    *((int *)t1) = 1;

LAB1:    return;
LAB2:    xsi_set_current_line(27, ng0);
    t3 = (t0 + 1352U);
    t4 = *((char **)t3);
    t5 = *((unsigned char *)t4);
    t6 = (t5 == (unsigned char)3);
    if (t6 != 0)
        goto LAB5;

LAB7:    xsi_set_current_line(32, ng0);
    t1 = (t0 + 2472U);
    t3 = *((char **)t1);
    t2 = *((unsigned char *)t3);
    t5 = (t2 == (unsigned char)3);
    if (t5 != 0)
        goto LAB8;

LAB10:
LAB9:    xsi_set_current_line(38, ng0);
    t1 = (t0 + 1992U);
    t3 = *((char **)t1);
    t13 = *((int *)t3);
    t2 = (t13 >= 100);
    if (t2 != 0)
        goto LAB11;

LAB13:
LAB12:    xsi_set_current_line(42, ng0);
    t1 = (t0 + 1992U);
    t3 = *((char **)t1);
    t13 = *((int *)t3);
    t5 = (t13 < 100);
    if (t5 == 1)
        goto LAB17;

LAB18:    t2 = (unsigned char)0;

LAB19:    if (t2 != 0)
        goto LAB14;

LAB16:
LAB15:    xsi_set_current_line(46, ng0);
    t1 = (t0 + 1992U);
    t3 = *((char **)t1);
    t13 = *((int *)t3);
    t2 = (t13 < 10);
    if (t2 != 0)
        goto LAB20;

LAB22:
LAB21:
LAB6:    goto LAB3;

LAB5:    xsi_set_current_line(28, ng0);
    t3 = xsi_get_transient_memory(4U);
    memset(t3, 0, 4U);
    t7 = t3;
    memset(t7, (unsigned char)3, 4U);
    t8 = (t0 + 4024);
    t9 = (t8 + 56U);
    t10 = *((char **)t9);
    t11 = (t10 + 56U);
    t12 = *((char **)t11);
    memcpy(t12, t3, 4U);
    xsi_driver_first_trans_fast_port(t8);
    xsi_set_current_line(29, ng0);
    t1 = xsi_get_transient_memory(4U);
    memset(t1, 0, 4U);
    t3 = t1;
    memset(t3, (unsigned char)3, 4U);
    t4 = (t0 + 4088);
    t7 = (t4 + 56U);
    t8 = *((char **)t7);
    t9 = (t8 + 56U);
    t10 = *((char **)t9);
    memcpy(t10, t1, 4U);
    xsi_driver_first_trans_fast_port(t4);
    xsi_set_current_line(30, ng0);
    t1 = xsi_get_transient_memory(4U);
    memset(t1, 0, 4U);
    t3 = t1;
    memset(t3, (unsigned char)3, 4U);
    t4 = (t0 + 4152);
    t7 = (t4 + 56U);
    t8 = *((char **)t7);
    t9 = (t8 + 56U);
    t10 = *((char **)t9);
    memcpy(t10, t1, 4U);
    xsi_driver_first_trans_fast_port(t4);
    goto LAB6;

LAB8:    xsi_set_current_line(33, ng0);
    t1 = (t0 + 1032U);
    t4 = *((char **)t1);
    t1 = (t0 + 6792U);
    t13 = ieee_p_3620187407_sub_5109402382352621412_3965413181(IEEE_P_3620187407, t4, t1);
    t7 = (t0 + 4216);
    t8 = (t7 + 56U);
    t9 = *((char **)t8);
    t10 = (t9 + 56U);
    t11 = *((char **)t10);
    *((int *)t11) = t13;
    xsi_driver_first_trans_fast(t7);
    xsi_set_current_line(34, ng0);
    t1 = (t0 + 4280);
    t3 = (t1 + 56U);
    t4 = *((char **)t3);
    t7 = (t4 + 56U);
    t8 = *((char **)t7);
    *((int *)t8) = 0;
    xsi_driver_first_trans_fast(t1);
    xsi_set_current_line(35, ng0);
    t1 = (t0 + 4344);
    t3 = (t1 + 56U);
    t4 = *((char **)t3);
    t7 = (t4 + 56U);
    t8 = *((char **)t7);
    *((int *)t8) = 0;
    xsi_driver_first_trans_fast(t1);
    xsi_set_current_line(36, ng0);
    t1 = (t0 + 4408);
    t3 = (t1 + 56U);
    t4 = *((char **)t3);
    t7 = (t4 + 56U);
    t8 = *((char **)t7);
    *((unsigned char *)t8) = (unsigned char)2;
    xsi_driver_first_trans_fast(t1);
    goto LAB9;

LAB11:    xsi_set_current_line(39, ng0);
    t1 = (t0 + 1992U);
    t4 = *((char **)t1);
    t14 = *((int *)t4);
    t15 = (t14 - 100);
    t1 = (t0 + 4216);
    t7 = (t1 + 56U);
    t8 = *((char **)t7);
    t9 = (t8 + 56U);
    t10 = *((char **)t9);
    *((int *)t10) = t15;
    xsi_driver_first_trans_fast(t1);
    xsi_set_current_line(40, ng0);
    t1 = (t0 + 2152U);
    t3 = *((char **)t1);
    t13 = *((int *)t3);
    t14 = (t13 + 1);
    t1 = (t0 + 4344);
    t4 = (t1 + 56U);
    t7 = *((char **)t4);
    t8 = (t7 + 56U);
    t9 = *((char **)t8);
    *((int *)t9) = t14;
    xsi_driver_first_trans_fast(t1);
    goto LAB12;

LAB14:    xsi_set_current_line(43, ng0);
    t1 = (t0 + 1992U);
    t7 = *((char **)t1);
    t15 = *((int *)t7);
    t16 = (t15 - 10);
    t1 = (t0 + 4216);
    t8 = (t1 + 56U);
    t9 = *((char **)t8);
    t10 = (t9 + 56U);
    t11 = *((char **)t10);
    *((int *)t11) = t16;
    xsi_driver_first_trans_fast(t1);
    xsi_set_current_line(44, ng0);
    t1 = (t0 + 2312U);
    t3 = *((char **)t1);
    t13 = *((int *)t3);
    t14 = (t13 + 1);
    t1 = (t0 + 4280);
    t4 = (t1 + 56U);
    t7 = *((char **)t4);
    t8 = (t7 + 56U);
    t9 = *((char **)t8);
    *((int *)t9) = t14;
    xsi_driver_first_trans_fast(t1);
    goto LAB15;

LAB17:    t1 = (t0 + 1992U);
    t4 = *((char **)t1);
    t14 = *((int *)t4);
    t6 = (t14 >= 10);
    t2 = t6;
    goto LAB19;

LAB20:    xsi_set_current_line(47, ng0);
    t1 = (t0 + 1992U);
    t4 = *((char **)t1);
    t14 = *((int *)t4);
    t1 = ieee_p_3499444699_sub_17544701978858283880_3536714472(IEEE_P_3499444699, t17, t14, 4);
    t7 = (t17 + 12U);
    t18 = *((unsigned int *)t7);
    t18 = (t18 * 1U);
    t5 = (4U != t18);
    if (t5 == 1)
        goto LAB23;

LAB24:    t8 = (t0 + 4024);
    t9 = (t8 + 56U);
    t10 = *((char **)t9);
    t11 = (t10 + 56U);
    t12 = *((char **)t11);
    memcpy(t12, t1, 4U);
    xsi_driver_first_trans_fast_port(t8);
    xsi_set_current_line(48, ng0);
    t1 = (t0 + 2312U);
    t3 = *((char **)t1);
    t13 = *((int *)t3);
    t1 = ieee_p_3499444699_sub_17544701978858283880_3536714472(IEEE_P_3499444699, t17, t13, 4);
    t4 = (t17 + 12U);
    t18 = *((unsigned int *)t4);
    t18 = (t18 * 1U);
    t2 = (4U != t18);
    if (t2 == 1)
        goto LAB25;

LAB26:    t7 = (t0 + 4088);
    t8 = (t7 + 56U);
    t9 = *((char **)t8);
    t10 = (t9 + 56U);
    t11 = *((char **)t10);
    memcpy(t11, t1, 4U);
    xsi_driver_first_trans_fast_port(t7);
    xsi_set_current_line(49, ng0);
    t1 = (t0 + 2152U);
    t3 = *((char **)t1);
    t13 = *((int *)t3);
    t1 = ieee_p_3499444699_sub_17544701978858283880_3536714472(IEEE_P_3499444699, t17, t13, 4);
    t4 = (t17 + 12U);
    t18 = *((unsigned int *)t4);
    t18 = (t18 * 1U);
    t2 = (4U != t18);
    if (t2 == 1)
        goto LAB27;

LAB28:    t7 = (t0 + 4152);
    t8 = (t7 + 56U);
    t9 = *((char **)t8);
    t10 = (t9 + 56U);
    t11 = *((char **)t10);
    memcpy(t11, t1, 4U);
    xsi_driver_first_trans_fast_port(t7);
    xsi_set_current_line(50, ng0);
    t1 = (t0 + 4408);
    t3 = (t1 + 56U);
    t4 = *((char **)t3);
    t7 = (t4 + 56U);
    t8 = *((char **)t7);
    *((unsigned char *)t8) = (unsigned char)3;
    xsi_driver_first_trans_fast(t1);
    goto LAB21;

LAB23:    xsi_size_not_matching(4U, t18, 0);
    goto LAB24;

LAB25:    xsi_size_not_matching(4U, t18, 0);
    goto LAB26;

LAB27:    xsi_size_not_matching(4U, t18, 0);
    goto LAB28;

}