Esempio n. 1
0
static void work_a_3799537142_3665547200_p_0(char *t0)
{
    char t24[16];
    char t25[16];
    char *t1;
    char *t2;
    unsigned char t3;
    unsigned char t4;
    char *t5;
    char *t6;
    char *t7;
    char *t8;
    unsigned char t9;
    unsigned char t10;
    unsigned char t11;
    char *t12;
    char *t13;
    char *t14;
    int t15;
    int t16;
    int t17;
    int t18;
    unsigned char t19;
    unsigned int t20;
    unsigned int t21;
    unsigned int t22;
    char *t23;
    unsigned int t26;
    static char *nl0[] = {&&LAB11, &&LAB12};

LAB0:    xsi_set_current_line(66, ng0);
    t1 = (t0 + 1192U);
    t2 = *((char **)t1);
    t3 = *((unsigned char *)t2);
    t4 = (t3 == (unsigned char)2);
    if (t4 != 0)
        goto LAB2;

LAB4:    t1 = (t0 + 992U);
    t4 = xsi_signal_has_event(t1);
    if (t4 == 1)
        goto LAB7;

LAB8:    t3 = (unsigned char)0;

LAB9:    if (t3 != 0)
        goto LAB5;

LAB6:
LAB3:    t1 = (t0 + 6512);
    *((int *)t1) = 1;

LAB1:    return;
LAB2:    xsi_set_current_line(67, ng0);
    t1 = (t0 + 6592);
    t5 = (t1 + 56U);
    t6 = *((char **)t5);
    t7 = (t6 + 56U);
    t8 = *((char **)t7);
    *((unsigned char *)t8) = (unsigned char)3;
    xsi_driver_first_trans_fast_port(t1);
    xsi_set_current_line(68, ng0);
    t1 = (t0 + 6656);
    t2 = (t1 + 56U);
    t5 = *((char **)t2);
    t6 = (t5 + 56U);
    t7 = *((char **)t6);
    *((unsigned char *)t7) = (unsigned char)3;
    xsi_driver_first_trans_fast_port(t1);
    xsi_set_current_line(69, ng0);
    t1 = (t0 + 6720);
    t2 = (t1 + 56U);
    t5 = *((char **)t2);
    t6 = (t5 + 56U);
    t7 = *((char **)t6);
    *((unsigned char *)t7) = (unsigned char)4;
    xsi_driver_first_trans_fast_port(t1);
    xsi_set_current_line(70, ng0);
    t1 = (t0 + 6784);
    t2 = (t1 + 56U);
    t5 = *((char **)t2);
    t6 = (t5 + 56U);
    t7 = *((char **)t6);
    *((unsigned char *)t7) = (unsigned char)4;
    xsi_driver_first_trans_fast_port(t1);
    xsi_set_current_line(71, ng0);
    t1 = (t0 + 6848);
    t2 = (t1 + 56U);
    t5 = *((char **)t2);
    t6 = (t5 + 56U);
    t7 = *((char **)t6);
    *((unsigned char *)t7) = (unsigned char)4;
    xsi_driver_first_trans_fast_port(t1);
    xsi_set_current_line(72, ng0);
    t1 = (t0 + 6912);
    t2 = (t1 + 56U);
    t5 = *((char **)t2);
    t6 = (t5 + 56U);
    t7 = *((char **)t6);
    *((unsigned char *)t7) = (unsigned char)0;
    xsi_driver_first_trans_fast(t1);
    goto LAB3;

LAB5:    xsi_set_current_line(75, ng0);
    t2 = (t0 + 3592U);
    t6 = *((char **)t2);
    t11 = *((unsigned char *)t6);
    t2 = (char *)((nl0) + t11);
    goto **((char **)t2);

LAB7:    t2 = (t0 + 1032U);
    t5 = *((char **)t2);
    t9 = *((unsigned char *)t5);
    t10 = (t9 == (unsigned char)3);
    t3 = t10;
    goto LAB9;

LAB10:    goto LAB3;

LAB11:    xsi_set_current_line(78, ng0);
    t7 = (t0 + 6592);
    t8 = (t7 + 56U);
    t12 = *((char **)t8);
    t13 = (t12 + 56U);
    t14 = *((char **)t13);
    *((unsigned char *)t14) = (unsigned char)2;
    xsi_driver_first_trans_fast_port(t7);
    xsi_set_current_line(79, ng0);
    t1 = (t0 + 6656);
    t2 = (t1 + 56U);
    t5 = *((char **)t2);
    t6 = (t5 + 56U);
    t7 = *((char **)t6);
    *((unsigned char *)t7) = (unsigned char)3;
    xsi_driver_first_trans_fast_port(t1);
    xsi_set_current_line(80, ng0);
    t1 = (t0 + 6720);
    t2 = (t1 + 56U);
    t5 = *((char **)t2);
    t6 = (t5 + 56U);
    t7 = *((char **)t6);
    *((unsigned char *)t7) = (unsigned char)4;
    xsi_driver_first_trans_fast_port(t1);
    xsi_set_current_line(81, ng0);
    t1 = (t0 + 6784);
    t2 = (t1 + 56U);
    t5 = *((char **)t2);
    t6 = (t5 + 56U);
    t7 = *((char **)t6);
    *((unsigned char *)t7) = (unsigned char)4;
    xsi_driver_first_trans_fast_port(t1);
    xsi_set_current_line(82, ng0);
    t1 = (t0 + 6848);
    t2 = (t1 + 56U);
    t5 = *((char **)t2);
    t6 = (t5 + 56U);
    t7 = *((char **)t6);
    *((unsigned char *)t7) = (unsigned char)4;
    xsi_driver_first_trans_fast_port(t1);
    xsi_set_current_line(83, ng0);
    t1 = (t0 + 6976);
    t2 = (t1 + 56U);
    t5 = *((char **)t2);
    t6 = (t5 + 56U);
    t7 = *((char **)t6);
    *((unsigned char *)t7) = (unsigned char)2;
    xsi_driver_first_trans_fast(t1);
    xsi_set_current_line(86, ng0);
    t1 = (t0 + 1352U);
    t2 = *((char **)t1);
    t3 = *((unsigned char *)t2);
    t4 = (t3 == (unsigned char)3);
    if (t4 != 0)
        goto LAB13;

LAB15:    xsi_set_current_line(110, ng0);
    t1 = (t0 + 6912);
    t2 = (t1 + 56U);
    t5 = *((char **)t2);
    t6 = (t5 + 56U);
    t7 = *((char **)t6);
    *((unsigned char *)t7) = (unsigned char)0;
    xsi_driver_first_trans_fast(t1);

LAB14:    goto LAB10;

LAB12:    xsi_set_current_line(114, ng0);
    t1 = (t0 + 6592);
    t2 = (t1 + 56U);
    t5 = *((char **)t2);
    t6 = (t5 + 56U);
    t7 = *((char **)t6);
    *((unsigned char *)t7) = (unsigned char)3;
    xsi_driver_first_trans_fast_port(t1);
    xsi_set_current_line(115, ng0);
    t1 = (t0 + 6656);
    t2 = (t1 + 56U);
    t5 = *((char **)t2);
    t6 = (t5 + 56U);
    t7 = *((char **)t6);
    *((unsigned char *)t7) = (unsigned char)2;
    xsi_driver_first_trans_fast_port(t1);
    xsi_set_current_line(118, ng0);
    t1 = (t0 + 3912U);
    t2 = *((char **)t1);
    t15 = *((int *)t2);
    t1 = (t0 + 3752U);
    t5 = *((char **)t1);
    t16 = *((int *)t5);
    t3 = (t15 == t16);
    if (t3 != 0)
        goto LAB19;

LAB21:    xsi_set_current_line(175, ng0);
    t1 = (t0 + 3912U);
    t2 = *((char **)t1);
    t15 = *((int *)t2);
    t16 = (t15 + 1);
    t1 = (t0 + 7104);
    t5 = (t1 + 56U);
    t6 = *((char **)t5);
    t7 = (t6 + 56U);
    t8 = *((char **)t7);
    *((int *)t8) = t16;
    xsi_driver_first_trans_fast(t1);
    xsi_set_current_line(176, ng0);
    t1 = (t0 + 6912);
    t2 = (t1 + 56U);
    t5 = *((char **)t2);
    t6 = (t5 + 56U);
    t7 = *((char **)t6);
    *((unsigned char *)t7) = (unsigned char)1;
    xsi_driver_first_trans_fast(t1);

LAB20:    goto LAB10;

LAB13:    xsi_set_current_line(88, ng0);
    t1 = (t0 + 6592);
    t5 = (t1 + 56U);
    t6 = *((char **)t5);
    t7 = (t6 + 56U);
    t8 = *((char **)t7);
    *((unsigned char *)t8) = (unsigned char)3;
    xsi_driver_first_trans_fast_port(t1);
    xsi_set_current_line(94, ng0);
    t1 = (t0 + 1992U);
    t2 = *((char **)t1);
    t15 = *((int *)t2);
    t3 = (t15 == 0);
    if (t3 != 0)
        goto LAB16;

LAB18:    xsi_set_current_line(98, ng0);
    t1 = (t0 + 1992U);
    t2 = *((char **)t1);
    t15 = *((int *)t2);
    t1 = (t0 + 7040);
    t5 = (t1 + 56U);
    t6 = *((char **)t5);
    t7 = (t6 + 56U);
    t8 = *((char **)t7);
    *((int *)t8) = t15;
    xsi_driver_first_trans_fast(t1);
    xsi_set_current_line(99, ng0);
    t1 = (t0 + 1992U);
    t2 = *((char **)t1);
    t15 = *((int *)t2);
    t1 = (t0 + 7104);
    t5 = (t1 + 56U);
    t6 = *((char **)t5);
    t7 = (t6 + 56U);
    t8 = *((char **)t7);
    *((int *)t8) = t15;
    xsi_driver_first_trans_fast(t1);

LAB17:    xsi_set_current_line(101, ng0);
    t1 = (t0 + 1512U);
    t2 = *((char **)t1);
    t3 = *((unsigned char *)t2);
    t1 = (t0 + 7168);
    t5 = (t1 + 56U);
    t6 = *((char **)t5);
    t7 = (t6 + 56U);
    t8 = *((char **)t7);
    *((unsigned char *)t8) = t3;
    xsi_driver_first_trans_fast_port(t1);
    xsi_set_current_line(102, ng0);
    t1 = (t0 + 1672U);
    t2 = *((char **)t1);
    t3 = *((unsigned char *)t2);
    t4 = ieee_p_2592010699_sub_1690584930_503743352(IEEE_P_2592010699, t3);
    t1 = (t0 + 7232);
    t5 = (t1 + 56U);
    t6 = *((char **)t5);
    t7 = (t6 + 56U);
    t8 = *((char **)t7);
    *((unsigned char *)t8) = t4;
    xsi_driver_first_trans_fast(t1);
    xsi_set_current_line(103, ng0);
    t1 = (t0 + 2152U);
    t2 = *((char **)t1);
    t1 = (t0 + 7296);
    t5 = (t1 + 56U);
    t6 = *((char **)t5);
    t7 = (t6 + 56U);
    t8 = *((char **)t7);
    memcpy(t8, t2, 64U);
    xsi_driver_first_trans_fast(t1);
    xsi_set_current_line(104, ng0);
    t1 = (t0 + 2312U);
    t2 = *((char **)t1);
    t1 = (t0 + 7360);
    t5 = (t1 + 56U);
    t6 = *((char **)t5);
    t7 = (t6 + 56U);
    t8 = *((char **)t7);
    memcpy(t8, t2, 64U);
    xsi_driver_first_trans_fast(t1);
    xsi_set_current_line(105, ng0);
    t1 = (t0 + 2472U);
    t2 = *((char **)t1);
    t1 = (t0 + 7424);
    t5 = (t1 + 56U);
    t6 = *((char **)t5);
    t7 = (t6 + 56U);
    t8 = *((char **)t7);
    memcpy(t8, t2, 64U);
    xsi_driver_first_trans_fast(t1);
    xsi_set_current_line(106, ng0);
    t1 = (t0 + 7488);
    t2 = (t1 + 56U);
    t5 = *((char **)t2);
    t6 = (t5 + 56U);
    t7 = *((char **)t6);
    *((int *)t7) = 0;
    xsi_driver_first_trans_fast(t1);
    xsi_set_current_line(107, ng0);
    t15 = (64 * 2);
    t1 = (t0 + 1672U);
    t2 = *((char **)t1);
    t3 = *((unsigned char *)t2);
    t16 = ieee_std_logic_arith_conv_integer_ulogic(IEEE_P_3499444699, t3);
    t17 = (t15 + t16);
    t18 = (t17 - 1);
    t1 = (t0 + 7552);
    t5 = (t1 + 56U);
    t6 = *((char **)t5);
    t7 = (t6 + 56U);
    t8 = *((char **)t7);
    *((int *)t8) = t18;
    xsi_driver_first_trans_fast(t1);
    xsi_set_current_line(108, ng0);
    t1 = (t0 + 6912);
    t2 = (t1 + 56U);
    t5 = *((char **)t2);
    t6 = (t5 + 56U);
    t7 = *((char **)t6);
    *((unsigned char *)t7) = (unsigned char)1;
    xsi_driver_first_trans_fast(t1);
    goto LAB14;

LAB16:    xsi_set_current_line(95, ng0);
    t1 = (t0 + 7040);
    t5 = (t1 + 56U);
    t6 = *((char **)t5);
    t7 = (t6 + 56U);
    t8 = *((char **)t7);
    *((int *)t8) = 1;
    xsi_driver_first_trans_fast(t1);
    xsi_set_current_line(96, ng0);
    t1 = (t0 + 7104);
    t2 = (t1 + 56U);
    t5 = *((char **)t2);
    t6 = (t5 + 56U);
    t7 = *((char **)t6);
    *((int *)t7) = 1;
    xsi_driver_first_trans_fast(t1);
    goto LAB17;

LAB19:    xsi_set_current_line(119, ng0);
    t1 = (t0 + 7104);
    t6 = (t1 + 56U);
    t7 = *((char **)t6);
    t8 = (t7 + 56U);
    t12 = *((char **)t8);
    *((int *)t12) = 1;
    xsi_driver_first_trans_fast(t1);
    xsi_set_current_line(120, ng0);
    t1 = (t0 + 4232U);
    t2 = *((char **)t1);
    t3 = *((unsigned char *)t2);
    t4 = ieee_p_2592010699_sub_1690584930_503743352(IEEE_P_2592010699, t3);
    t1 = (t0 + 7232);
    t5 = (t1 + 56U);
    t6 = *((char **)t5);
    t7 = (t6 + 56U);
    t8 = *((char **)t7);
    *((unsigned char *)t8) = t4;
    xsi_driver_first_trans_fast(t1);
    xsi_set_current_line(121, ng0);
    t1 = (t0 + 4072U);
    t2 = *((char **)t1);
    t15 = *((int *)t2);
    t16 = (64 * 2);
    t17 = (t16 + 1);
    t3 = (t15 == t17);
    if (t3 != 0)
        goto LAB22;

LAB24:    xsi_set_current_line(124, ng0);
    t1 = (t0 + 4072U);
    t2 = *((char **)t1);
    t15 = *((int *)t2);
    t16 = (t15 + 1);
    t1 = (t0 + 7488);
    t5 = (t1 + 56U);
    t6 = *((char **)t5);
    t7 = (t6 + 56U);
    t8 = *((char **)t7);
    *((int *)t8) = t16;
    xsi_driver_first_trans_fast(t1);

LAB23:    xsi_set_current_line(128, ng0);
    t1 = (t0 + 4072U);
    t2 = *((char **)t1);
    t15 = *((int *)t2);
    t16 = (64 * 2);
    t4 = (t15 <= t16);
    if (t4 == 1)
        goto LAB28;

LAB29:    t3 = (unsigned char)0;

LAB30:    if (t3 != 0)
        goto LAB25;

LAB27:
LAB26:    xsi_set_current_line(138, ng0);
    t1 = (t0 + 4232U);
    t2 = *((char **)t1);
    t4 = *((unsigned char *)t2);
    t9 = (t4 == (unsigned char)3);
    if (t9 == 1)
        goto LAB34;

LAB35:    t3 = (unsigned char)0;

LAB36:    if (t3 != 0)
        goto LAB31;

LAB33:
LAB32:    xsi_set_current_line(148, ng0);
    t1 = (t0 + 4072U);
    t2 = *((char **)t1);
    t15 = *((int *)t2);
    t1 = (t0 + 5032U);
    t5 = *((char **)t1);
    t16 = *((int *)t5);
    t4 = (t15 == t16);
    if (t4 == 1)
        goto LAB46;

LAB47:    t3 = (unsigned char)0;

LAB48:    if (t3 != 0)
        goto LAB43;

LAB45:
LAB44:    xsi_set_current_line(157, ng0);
    t1 = (t0 + 4392U);
    t2 = *((char **)t1);
    t3 = *((unsigned char *)t2);
    t4 = (t3 == (unsigned char)3);
    if (t4 != 0)
        goto LAB49;

LAB51:
LAB50:    xsi_set_current_line(163, ng0);
    t1 = (t0 + 4072U);
    t2 = *((char **)t1);
    t15 = *((int *)t2);
    t16 = (64 * 2);
    t17 = (t16 + 1);
    t4 = (t15 == t17);
    if (t4 == 1)
        goto LAB55;

LAB56:    t3 = (unsigned char)0;

LAB57:    if (t3 != 0)
        goto LAB52;

LAB54:    xsi_set_current_line(171, ng0);
    t1 = (t0 + 6912);
    t2 = (t1 + 56U);
    t5 = *((char **)t2);
    t6 = (t5 + 56U);
    t7 = *((char **)t6);
    *((unsigned char *)t7) = (unsigned char)1;
    xsi_driver_first_trans_fast(t1);

LAB53:    goto LAB20;

LAB22:    xsi_set_current_line(122, ng0);
    t1 = (t0 + 7488);
    t5 = (t1 + 56U);
    t6 = *((char **)t5);
    t7 = (t6 + 56U);
    t8 = *((char **)t7);
    *((int *)t8) = 0;
    xsi_driver_first_trans_fast(t1);
    goto LAB23;

LAB25:    xsi_set_current_line(129, ng0);
    t1 = (t0 + 2632U);
    t6 = *((char **)t1);
    t11 = *((unsigned char *)t6);
    t19 = ieee_p_2592010699_sub_1690584930_503743352(IEEE_P_2592010699, t11);
    t1 = (t0 + 7168);
    t7 = (t1 + 56U);
    t8 = *((char **)t7);
    t12 = (t8 + 56U);
    t13 = *((char **)t12);
    *((unsigned char *)t13) = t19;
    xsi_driver_first_trans_fast_port(t1);
    goto LAB26;

LAB28:    t1 = (t0 + 2792U);
    t5 = *((char **)t1);
    t9 = *((unsigned char *)t5);
    t10 = (t9 == (unsigned char)2);
    t3 = t10;
    goto LAB30;

LAB31:    xsi_set_current_line(139, ng0);
    t1 = (t0 + 4552U);
    t7 = *((char **)t1);
    t17 = (64 - 1);
    t18 = (t17 - 63);
    t20 = (t18 * -1);
    t21 = (1U * t20);
    t22 = (0 + t21);
    t1 = (t7 + t22);
    t11 = *((unsigned char *)t1);
    t8 = (t0 + 6720);
    t12 = (t8 + 56U);
    t13 = *((char **)t12);
    t14 = (t13 + 56U);
    t23 = *((char **)t14);
    *((unsigned char *)t23) = t11;
    xsi_driver_first_trans_fast_port(t8);
    xsi_set_current_line(140, ng0);
    t1 = (t0 + 4712U);
    t2 = *((char **)t1);
    t15 = (64 - 1);
    t16 = (t15 - 63);
    t20 = (t16 * -1);
    t21 = (1U * t20);
    t22 = (0 + t21);
    t1 = (t2 + t22);
    t3 = *((unsigned char *)t1);
    t5 = (t0 + 6784);
    t6 = (t5 + 56U);
    t7 = *((char **)t6);
    t8 = (t7 + 56U);
    t12 = *((char **)t8);
    *((unsigned char *)t12) = t3;
    xsi_driver_first_trans_fast_port(t5);
    xsi_set_current_line(141, ng0);
    t1 = (t0 + 4872U);
    t2 = *((char **)t1);
    t15 = (64 - 1);
    t16 = (t15 - 63);
    t20 = (t16 * -1);
    t21 = (1U * t20);
    t22 = (0 + t21);
    t1 = (t2 + t22);
    t3 = *((unsigned char *)t1);
    t5 = (t0 + 6848);
    t6 = (t5 + 56U);
    t7 = *((char **)t6);
    t8 = (t7 + 56U);
    t12 = *((char **)t8);
    *((unsigned char *)t12) = t3;
    xsi_driver_first_trans_fast_port(t5);
    xsi_set_current_line(142, ng0);
    t1 = (t0 + 4552U);
    t2 = *((char **)t1);
    t15 = (64 - 2);
    t20 = (63 - t15);
    t21 = (t20 * 1U);
    t22 = (0 + t21);
    t1 = (t2 + t22);
    t6 = ((IEEE_P_2592010699) + 4024);
    t7 = (t25 + 0U);
    t8 = (t7 + 0U);
    *((int *)t8) = 62;
    t8 = (t7 + 4U);
    *((int *)t8) = 0;
    t8 = (t7 + 8U);
    *((int *)t8) = -1;
    t16 = (0 - 62);
    t26 = (t16 * -1);
    t26 = (t26 + 1);
    t8 = (t7 + 12U);
    *((unsigned int *)t8) = t26;
    t5 = xsi_base_array_concat(t5, t24, t6, (char)97, t1, t25, (char)99, (unsigned char)2, (char)101);
    t26 = (63U + 1U);
    t3 = (64U != t26);
    if (t3 == 1)
        goto LAB37;

LAB38:    t8 = (t0 + 7296);
    t12 = (t8 + 56U);
    t13 = *((char **)t12);
    t14 = (t13 + 56U);
    t23 = *((char **)t14);
    memcpy(t23, t5, 64U);
    xsi_driver_first_trans_fast(t8);
    xsi_set_current_line(143, ng0);
    t1 = (t0 + 4712U);
    t2 = *((char **)t1);
    t15 = (64 - 2);
    t20 = (63 - t15);
    t21 = (t20 * 1U);
    t22 = (0 + t21);
    t1 = (t2 + t22);
    t6 = ((IEEE_P_2592010699) + 4024);
    t7 = (t25 + 0U);
    t8 = (t7 + 0U);
    *((int *)t8) = 62;
    t8 = (t7 + 4U);
    *((int *)t8) = 0;
    t8 = (t7 + 8U);
    *((int *)t8) = -1;
    t16 = (0 - 62);
    t26 = (t16 * -1);
    t26 = (t26 + 1);
    t8 = (t7 + 12U);
    *((unsigned int *)t8) = t26;
    t5 = xsi_base_array_concat(t5, t24, t6, (char)97, t1, t25, (char)99, (unsigned char)2, (char)101);
    t26 = (63U + 1U);
    t3 = (64U != t26);
    if (t3 == 1)
        goto LAB39;

LAB40:    t8 = (t0 + 7360);
    t12 = (t8 + 56U);
    t13 = *((char **)t12);
    t14 = (t13 + 56U);
    t23 = *((char **)t14);
    memcpy(t23, t5, 64U);
    xsi_driver_first_trans_fast(t8);
    xsi_set_current_line(144, ng0);
    t1 = (t0 + 4872U);
    t2 = *((char **)t1);
    t15 = (64 - 2);
    t20 = (63 - t15);
    t21 = (t20 * 1U);
    t22 = (0 + t21);
    t1 = (t2 + t22);
    t6 = ((IEEE_P_2592010699) + 4024);
    t7 = (t25 + 0U);
    t8 = (t7 + 0U);
    *((int *)t8) = 62;
    t8 = (t7 + 4U);
    *((int *)t8) = 0;
    t8 = (t7 + 8U);
    *((int *)t8) = -1;
    t16 = (0 - 62);
    t26 = (t16 * -1);
    t26 = (t26 + 1);
    t8 = (t7 + 12U);
    *((unsigned int *)t8) = t26;
    t5 = xsi_base_array_concat(t5, t24, t6, (char)97, t1, t25, (char)99, (unsigned char)2, (char)101);
    t26 = (63U + 1U);
    t3 = (64U != t26);
    if (t3 == 1)
        goto LAB41;

LAB42:    t8 = (t0 + 7424);
    t12 = (t8 + 56U);
    t13 = *((char **)t12);
    t14 = (t13 + 56U);
    t23 = *((char **)t14);
    memcpy(t23, t5, 64U);
    xsi_driver_first_trans_fast(t8);
    goto LAB32;

LAB34:    t1 = (t0 + 4072U);
    t5 = *((char **)t1);
    t15 = *((int *)t5);
    t1 = (t0 + 5032U);
    t6 = *((char **)t1);
    t16 = *((int *)t6);
    t10 = (t15 < t16);
    t3 = t10;
    goto LAB36;

LAB37:    xsi_size_not_matching(64U, t26, 0);
    goto LAB38;

LAB39:    xsi_size_not_matching(64U, t26, 0);
    goto LAB40;

LAB41:    xsi_size_not_matching(64U, t26, 0);
    goto LAB42;

LAB43:    xsi_set_current_line(149, ng0);
    t1 = (t0 + 2152U);
    t7 = *((char **)t1);
    t1 = (t0 + 7296);
    t8 = (t1 + 56U);
    t12 = *((char **)t8);
    t13 = (t12 + 56U);
    t14 = *((char **)t13);
    memcpy(t14, t7, 64U);
    xsi_driver_first_trans_fast(t1);
    xsi_set_current_line(150, ng0);
    t1 = (t0 + 2312U);
    t2 = *((char **)t1);
    t1 = (t0 + 7360);
    t5 = (t1 + 56U);
    t6 = *((char **)t5);
    t7 = (t6 + 56U);
    t8 = *((char **)t7);
    memcpy(t8, t2, 64U);
    xsi_driver_first_trans_fast(t1);
    xsi_set_current_line(151, ng0);
    t1 = (t0 + 2472U);
    t2 = *((char **)t1);
    t1 = (t0 + 7424);
    t5 = (t1 + 56U);
    t6 = *((char **)t5);
    t7 = (t6 + 56U);
    t8 = *((char **)t7);
    memcpy(t8, t2, 64U);
    xsi_driver_first_trans_fast(t1);
    xsi_set_current_line(152, ng0);
    t1 = (t0 + 5032U);
    t2 = *((char **)t1);
    t15 = *((int *)t2);
    t16 = (64 * 2);
    t17 = (t15 - t16);
    t18 = (t17 + 1);
    t1 = (t0 + 7488);
    t5 = (t1 + 56U);
    t6 = *((char **)t5);
    t7 = (t6 + 56U);
    t8 = *((char **)t7);
    *((int *)t8) = t18;
    xsi_driver_first_trans_fast(t1);
    xsi_set_current_line(153, ng0);
    t1 = (t0 + 6976);
    t2 = (t1 + 56U);
    t5 = *((char **)t2);
    t6 = (t5 + 56U);
    t7 = *((char **)t6);
    *((unsigned char *)t7) = (unsigned char)3;
    xsi_driver_first_trans_fast(t1);
    goto LAB44;

LAB46:    t1 = (t0 + 1832U);
    t6 = *((char **)t1);
    t9 = *((unsigned char *)t6);
    t10 = (t9 == (unsigned char)3);
    t3 = t10;
    goto LAB48;

LAB49:    xsi_set_current_line(158, ng0);
    t1 = (t0 + 6976);
    t5 = (t1 + 56U);
    t6 = *((char **)t5);
    t7 = (t6 + 56U);
    t8 = *((char **)t7);
    *((unsigned char *)t8) = (unsigned char)2;
    xsi_driver_first_trans_fast(t1);
    xsi_set_current_line(159, ng0);
    t1 = (t0 + 6592);
    t2 = (t1 + 56U);
    t5 = *((char **)t2);
    t6 = (t5 + 56U);
    t7 = *((char **)t6);
    *((unsigned char *)t7) = (unsigned char)2;
    xsi_driver_first_trans_fast_port(t1);
    goto LAB50;

LAB52:    xsi_set_current_line(164, ng0);
    t1 = (t0 + 6592);
    t6 = (t1 + 56U);
    t7 = *((char **)t6);
    t8 = (t7 + 56U);
    t12 = *((char **)t8);
    *((unsigned char *)t12) = (unsigned char)2;
    xsi_driver_first_trans_fast_port(t1);
    xsi_set_current_line(165, ng0);
    t1 = (t0 + 6656);
    t2 = (t1 + 56U);
    t5 = *((char **)t2);
    t6 = (t5 + 56U);
    t7 = *((char **)t6);
    *((unsigned char *)t7) = (unsigned char)3;
    xsi_driver_first_trans_fast_port(t1);
    xsi_set_current_line(166, ng0);
    t1 = (t0 + 6720);
    t2 = (t1 + 56U);
    t5 = *((char **)t2);
    t6 = (t5 + 56U);
    t7 = *((char **)t6);
    *((unsigned char *)t7) = (unsigned char)4;
    xsi_driver_first_trans_fast_port(t1);
    xsi_set_current_line(167, ng0);
    t1 = (t0 + 6784);
    t2 = (t1 + 56U);
    t5 = *((char **)t2);
    t6 = (t5 + 56U);
    t7 = *((char **)t6);
    *((unsigned char *)t7) = (unsigned char)4;
    xsi_driver_first_trans_fast_port(t1);
    xsi_set_current_line(168, ng0);
    t1 = (t0 + 6848);
    t2 = (t1 + 56U);
    t5 = *((char **)t2);
    t6 = (t5 + 56U);
    t7 = *((char **)t6);
    *((unsigned char *)t7) = (unsigned char)4;
    xsi_driver_first_trans_fast_port(t1);
    xsi_set_current_line(169, ng0);
    t1 = (t0 + 6912);
    t2 = (t1 + 56U);
    t5 = *((char **)t2);
    t6 = (t5 + 56U);
    t7 = *((char **)t6);
    *((unsigned char *)t7) = (unsigned char)0;
    xsi_driver_first_trans_fast(t1);
    goto LAB53;

LAB55:    t1 = (t0 + 1832U);
    t5 = *((char **)t1);
    t9 = *((unsigned char *)t5);
    t10 = (t9 == (unsigned char)2);
    t3 = t10;
    goto LAB57;

}


extern void work_a_3799537142_3665547200_init()
{
	static char *pe[] = {(void *)work_a_3799537142_3665547200_p_0};
	xsi_register_didat("work_a_3799537142_3665547200", "isim/koppel_tb_isim_beh.exe.sim/work/a_3799537142_3665547200.didat");
	xsi_register_executes(pe);
}
char *lmb_bram_if_cntlr_v2_10_b_a_0615717676_3306564128_sub_2336707405056216367_229454594(char *t1, char *t2, int t3, int t4, int t5, int t6, char *t7)
{
    char t8[368];
    char t9[40];
    char t10[16];
    char t16[16];
    char t22[16];
    char t29[8];
    char t32[16];
    char t38[16];
    char t79[16];
    char t80[16];
    char *t0;
    char *t11;
    char *t12;
    int t13;
    unsigned int t14;
    char *t15;
    char *t17;
    char *t18;
    int t19;
    char *t20;
    char *t21;
    char *t23;
    char *t24;
    char *t25;
    char *t26;
    char *t27;
    char *t28;
    char *t30;
    char *t31;
    char *t33;
    char *t34;
    int t35;
    char *t36;
    char *t37;
    char *t39;
    char *t40;
    char *t41;
    char *t42;
    char *t43;
    char *t44;
    char *t45;
    char *t46;
    char *t47;
    char *t48;
    unsigned char t49;
    char *t50;
    int t51;
    int t52;
    unsigned char t53;
    int t54;
    unsigned char t55;
    int t56;
    int t57;
    unsigned char t58;
    int t59;
    int t60;
    char *t61;
    int t62;
    char *t63;
    int t64;
    int t65;
    char *t66;
    int t67;
    unsigned int t68;
    unsigned int t69;
    char *t70;
    unsigned char t71;
    int t72;
    char *t73;
    char *t74;
    int t75;
    unsigned int t76;
    unsigned int t77;
    unsigned int t78;
    unsigned int t81;
    unsigned int t82;
    unsigned int t83;
    unsigned int t84;
    unsigned int t85;
    unsigned int t86;
    unsigned int t87;

LAB0:    t11 = (t10 + 0U);
    t12 = (t11 + 0U);
    *((int *)t12) = 0;
    t12 = (t11 + 4U);
    *((int *)t12) = 31;
    t12 = (t11 + 8U);
    *((int *)t12) = 1;
    t13 = (31 - 0);
    t14 = (t13 * 1);
    t14 = (t14 + 1);
    t12 = (t11 + 12U);
    *((unsigned int *)t12) = t14;
    t12 = (t1 + 8292);
    t17 = (t16 + 0U);
    t18 = (t17 + 0U);
    *((int *)t18) = 15;
    t18 = (t17 + 4U);
    *((int *)t18) = 0;
    t18 = (t17 + 8U);
    *((int *)t18) = -1;
    t19 = (0 - 15);
    t14 = (t19 * -1);
    t14 = (t14 + 1);
    t18 = (t17 + 12U);
    *((unsigned int *)t18) = t14;
    t18 = (t8 + 4U);
    t20 = ((STD_STANDARD) + 1080);
    t21 = (t18 + 88U);
    *((char **)t21) = t20;
    t23 = (t18 + 56U);
    *((char **)t23) = t22;
    memcpy(t22, t12, 16U);
    t24 = (t18 + 64U);
    *((char **)t24) = t16;
    t25 = (t18 + 80U);
    *((unsigned int *)t25) = 16U;
    t26 = (t8 + 124U);
    t27 = ((STD_STANDARD) + 384);
    t28 = (t26 + 88U);
    *((char **)t28) = t27;
    t30 = (t26 + 56U);
    *((char **)t30) = t29;
    *((int *)t29) = 0;
    t31 = (t26 + 80U);
    *((unsigned int *)t31) = 4U;
    t33 = (t32 + 0U);
    t34 = (t33 + 0U);
    *((int *)t34) = 3;
    t34 = (t33 + 4U);
    *((int *)t34) = 0;
    t34 = (t33 + 8U);
    *((int *)t34) = -1;
    t35 = (0 - 3);
    t14 = (t35 * -1);
    t14 = (t14 + 1);
    t34 = (t33 + 12U);
    *((unsigned int *)t34) = t14;
    t34 = (t8 + 244U);
    t36 = (t1 + 4944);
    t37 = (t34 + 88U);
    *((char **)t37) = t36;
    t39 = (t34 + 56U);
    *((char **)t39) = t38;
    xsi_type_set_default_value(t36, t38, 0);
    t40 = (t34 + 64U);
    t41 = (t36 + 72U);
    t42 = *((char **)t41);
    *((char **)t40) = t42;
    t43 = (t34 + 80U);
    *((unsigned int *)t43) = 16U;
    t44 = (t9 + 4U);
    *((int *)t44) = t3;
    t45 = (t9 + 8U);
    *((int *)t45) = t4;
    t46 = (t9 + 12U);
    *((int *)t46) = t5;
    t47 = (t9 + 16U);
    *((int *)t47) = t6;
    t48 = (t9 + 20U);
    t49 = (t7 != 0);
    if (t49 == 1)
        goto LAB3;

LAB2:    t50 = (t9 + 28U);
    *((char **)t50) = t10;
    t51 = 0;
    t52 = 3;

LAB4:    if (t51 <= t52)
        goto LAB5;

LAB7:    t11 = (t34 + 56U);
    t12 = *((char **)t11);
    t13 = (3 - 3);
    t14 = (t13 * -1);
    t68 = (4U * t14);
    t69 = (0 + t68);
    t11 = (t12 + t69);
    t19 = *((int *)t11);
    t35 = (t19 * 8);
    t15 = (t34 + 56U);
    t17 = *((char **)t15);
    t51 = (2 - 3);
    t76 = (t51 * -1);
    t77 = (4U * t76);
    t78 = (0 + t77);
    t15 = (t17 + t78);
    t52 = *((int *)t15);
    t54 = (t52 * 4);
    t56 = (t35 + t54);
    t20 = (t34 + 56U);
    t21 = *((char **)t20);
    t57 = (1 - 3);
    t81 = (t57 * -1);
    t82 = (4U * t81);
    t83 = (0 + t82);
    t20 = (t21 + t83);
    t59 = *((int *)t20);
    t60 = (t59 * 2);
    t62 = (t56 + t60);
    t23 = (t34 + 56U);
    t24 = *((char **)t23);
    t64 = (0 - 3);
    t84 = (t64 * -1);
    t85 = (4U * t84);
    t86 = (0 + t85);
    t23 = (t24 + t86);
    t65 = *((int *)t23);
    t67 = (t65 * 1);
    t72 = (t62 + t67);
    t75 = xsi_vhdl_pow(2, t72);
    t25 = ieee_p_3499444699_sub_17544701978858283880_3536714472(IEEE_P_3499444699, t80, t75, 16);
    t27 = ieee_p_2592010699_sub_12303121079769504865_503743352(IEEE_P_2592010699, t79, t25, t80, (unsigned char)0);
    t28 = (t18 + 56U);
    t30 = *((char **)t28);
    t28 = (t30 + 0);
    t31 = (t79 + 12U);
    t87 = *((unsigned int *)t31);
    t87 = (t87 * 1U);
    memcpy(t28, t27, t87);
    t11 = (t18 + 56U);
    t12 = *((char **)t11);
    t11 = (t16 + 12U);
    t14 = *((unsigned int *)t11);
    t14 = (t14 * 1U);
    t0 = xsi_get_transient_memory(t14);
    memcpy(t0, t12, t14);
    t15 = (t16 + 0U);
    t13 = *((int *)t15);
    t17 = (t16 + 4U);
    t19 = *((int *)t17);
    t20 = (t16 + 8U);
    t35 = *((int *)t20);
    t21 = (t2 + 0U);
    t23 = (t21 + 0U);
    *((int *)t23) = t13;
    t23 = (t21 + 4U);
    *((int *)t23) = t19;
    t23 = (t21 + 8U);
    *((int *)t23) = t35;
    t51 = (t19 - t13);
    t68 = (t51 * t35);
    t68 = (t68 + 1);
    t23 = (t21 + 12U);
    *((unsigned int *)t23) = t68;

LAB1:    return t0;
LAB3:    *((char **)t48) = t7;
    goto LAB2;

LAB5:    t54 = (t5 - 1);
    t55 = (t3 < t54);
    if (t55 == 1)
        goto LAB11;

LAB12:    t56 = (t4 - 1);
    t57 = xsi_vhdl_mod(t56, 4);
    t58 = (t51 <= t57);
    t53 = t58;

LAB13:    if (t53 != 0)
        goto LAB8;

LAB10:    t11 = (t34 + 56U);
    t12 = *((char **)t11);
    t13 = (t51 - 3);
    t14 = (t13 * -1);
    xsi_vhdl_check_range_of_index(3, 0, -1, t51);
    t68 = (4U * t14);
    t69 = (0 + t68);
    t11 = (t12 + t69);
    *((int *)t11) = 0;

LAB9:
LAB6:    if (t51 == t52)
        goto LAB7;

LAB14:    t13 = (t51 + 1);
    t51 = t13;
    goto LAB4;

LAB8:    t59 = (t3 * 4);
    t60 = (t59 + t51);
    t61 = (t10 + 0U);
    t62 = *((int *)t61);
    t63 = (t10 + 8U);
    t64 = *((int *)t63);
    t65 = (t60 - t62);
    t14 = (t65 * t64);
    t66 = (t10 + 4U);
    t67 = *((int *)t66);
    xsi_vhdl_check_range_of_index(t62, t67, t64, t60);
    t68 = (1U * t14);
    t69 = (0 + t68);
    t70 = (t7 + t69);
    t71 = *((unsigned char *)t70);
    t72 = ieee_std_logic_arith_conv_integer_ulogic(IEEE_P_3499444699, t71);
    t73 = (t34 + 56U);
    t74 = *((char **)t73);
    t75 = (t51 - 3);
    t76 = (t75 * -1);
    xsi_vhdl_check_range_of_index(3, 0, -1, t51);
    t77 = (4U * t76);
    t78 = (0 + t77);
    t73 = (t74 + t78);
    *((int *)t73) = t72;
    goto LAB9;

LAB11:    t53 = (unsigned char)1;
    goto LAB13;

LAB15:;
}