Esempio n. 1
0
void CPU::power() {
  for(auto& byte : wram) byte = random(0x55);

  regs.a = regs.x = regs.y = 0x0000;
  regs.s = 0x01ff;

  mmio_power();
  dma_power();
  timing_power();
}
Esempio n. 2
0
void CPU::power() {
  cpu_version = config.cpu.version;
  foreach(n, wram) n = random(config.cpu.wram_init_value);

  regs.a = regs.x = regs.y = 0x0000;
  regs.s = 0x01ff;

  mmio_power();
  dma_power();
  timing_power();
}
Esempio n. 3
0
void CPU::power() {
  cpu_version = config.cpu.version;

  regs.a = regs.x = regs.y = 0x0000;
  regs.s = 0x01ff;

  mmio_power();
  dma_power();
  timing_power();

  reset();
}
Esempio n. 4
0
void sCPU::power() {
  CPU::power();

  regs.a = regs.x = regs.y = 0x0000;
  regs.s = 0x01ff;

  mmio_power();
  dma_power();
  timing_power();

  reset();
}
Esempio n. 5
0
void CPU::power() {
  cpu_version = config.cpu.version;
	for(int i=0;i<128*1024;i++) wram[i] = random(config.cpu.wram_init_value);

  regs.a = regs.x = regs.y = 0x0000;
  regs.s = 0x01ff;

  mmio_power();
  dma_power();
  timing_power();

	//zero 01-dec-2012
	//gotta clear these to something, sometime
	aa.d = rd.d = sp = dp = 0;
}