コード例 #1
0
static void work_a_1625836253_3212880686_p_7(char *t0)
{
    char t26[16];
    char t27[16];
    char *t1;
    unsigned char t2;
    char *t3;
    char *t4;
    int t5;
    int t6;
    unsigned int t7;
    unsigned int t8;
    unsigned int t9;
    unsigned char t10;
    unsigned char t11;
    char *t12;
    char *t13;
    int t14;
    int t15;
    unsigned int t16;
    unsigned int t17;
    unsigned int t18;
    unsigned char t19;
    char *t20;
    char *t21;
    char *t22;
    char *t23;
    char *t24;
    unsigned char t25;
    char *t28;
    unsigned int t29;
    unsigned char t30;
    char *t31;
    char *t32;
    char *t33;
    char *t34;
    int t35;

LAB0:    xsi_set_current_line(94, ng0);
    t1 = (t0 + 992U);
    t2 = ieee_p_2592010699_sub_1744673427_503743352(IEEE_P_2592010699, t1, 0U, 0U);
    if (t2 != 0)
        goto LAB2;

LAB4:
LAB3:    t1 = (t0 + 7760);
    *((int *)t1) = 1;

LAB1:    return;
LAB2:    xsi_set_current_line(96, ng0);
    t3 = (t0 + 3752U);
    t4 = *((char **)t3);
    t5 = (2 - 1);
    t6 = (t5 - 0);
    t7 = (t6 * 1);
    t8 = (1U * t7);
    t9 = (0 + t8);
    t3 = (t4 + t9);
    t10 = *((unsigned char *)t3);
    t11 = (t10 == (unsigned char)3);
    if (t11 != 0)
        goto LAB5;

LAB7:
LAB6:    xsi_set_current_line(101, ng0);
    t1 = (t0 + 2952U);
    t3 = *((char **)t1);
    t10 = *((unsigned char *)t3);
    t11 = (t10 == (unsigned char)3);
    if (t11 == 1)
        goto LAB11;

LAB12:    t1 = (t0 + 3752U);
    t4 = *((char **)t1);
    t5 = (2 - 1);
    t6 = (t5 - 0);
    t7 = (t6 * 1);
    t8 = (1U * t7);
    t9 = (0 + t8);
    t1 = (t4 + t9);
    t19 = *((unsigned char *)t1);
    t25 = (t19 == (unsigned char)2);
    t2 = t25;

LAB13:    if (t2 != 0)
        goto LAB8;

LAB10:
LAB9:    xsi_set_current_line(108, ng0);
    t1 = (t0 + 2952U);
    t3 = *((char **)t1);
    t10 = *((unsigned char *)t3);
    t11 = (t10 == (unsigned char)3);
    if (t11 == 1)
        goto LAB23;

LAB24:    t2 = (unsigned char)0;

LAB25:    if (t2 != 0)
        goto LAB20;

LAB22:
LAB21:    xsi_set_current_line(115, ng0);
    t1 = (t0 + 2952U);
    t3 = *((char **)t1);
    t2 = *((unsigned char *)t3);
    t10 = (t2 == (unsigned char)3);
    if (t10 != 0)
        goto LAB26;

LAB28:
LAB27:    goto LAB3;

LAB5:    xsi_set_current_line(97, ng0);
    t12 = (t0 + 3912U);
    t13 = *((char **)t12);
    t14 = (2 - 1);
    t15 = (t14 - 0);
    t16 = (t15 * 1);
    t17 = (1U * t16);
    t18 = (0 + t17);
    t12 = (t13 + t18);
    t19 = *((unsigned char *)t12);
    t20 = (t0 + 8288);
    t21 = (t20 + 56U);
    t22 = *((char **)t21);
    t23 = (t22 + 56U);
    t24 = *((char **)t23);
    *((unsigned char *)t24) = t19;
    xsi_driver_first_trans_fast(t20);
    goto LAB6;

LAB8:    xsi_set_current_line(102, ng0);
    t12 = (t0 + 3112U);
    t13 = *((char **)t12);
    t12 = (t0 + 3592U);
    t20 = *((char **)t12);
    t16 = (0 - 0);
    t17 = (t16 * 1U);
    t18 = (0 + t17);
    t12 = (t20 + t18);
    t22 = ((IEEE_P_2592010699) + 4024);
    t23 = (t0 + 12804U);
    t24 = (t27 + 0U);
    t28 = (t24 + 0U);
    *((int *)t28) = 0;
    t28 = (t24 + 4U);
    *((int *)t28) = 42;
    t28 = (t24 + 8U);
    *((int *)t28) = 1;
    t14 = (42 - 0);
    t29 = (t14 * 1);
    t29 = (t29 + 1);
    t28 = (t24 + 12U);
    *((unsigned int *)t28) = t29;
    t21 = xsi_base_array_concat(t21, t26, t22, (char)97, t13, t23, (char)97, t12, t27, (char)101);
    t29 = (43U + 43U);
    t30 = (86U != t29);
    if (t30 == 1)
        goto LAB14;

LAB15:    t28 = (t0 + 8352);
    t31 = (t28 + 56U);
    t32 = *((char **)t31);
    t33 = (t32 + 56U);
    t34 = *((char **)t33);
    memcpy(t34, t21, 86U);
    xsi_driver_first_trans_fast(t28);
    xsi_set_current_line(103, ng0);
    t1 = (t0 + 1832U);
    t3 = *((char **)t1);
    t2 = *((unsigned char *)t3);
    t1 = (t0 + 2312U);
    t4 = *((char **)t1);
    t10 = *((unsigned char *)t4);
    t11 = ieee_p_2592010699_sub_1605435078_503743352(IEEE_P_2592010699, t2, t10);
    t1 = (t0 + 3752U);
    t12 = *((char **)t1);
    t7 = (0 - 0);
    t8 = (t7 * 1U);
    t9 = (0 + t8);
    t1 = (t12 + t9);
    t20 = ((IEEE_P_2592010699) + 4024);
    t21 = (t27 + 0U);
    t22 = (t21 + 0U);
    *((int *)t22) = 0;
    t22 = (t21 + 4U);
    *((int *)t22) = 0;
    t22 = (t21 + 8U);
    *((int *)t22) = 1;
    t5 = (0 - 0);
    t16 = (t5 * 1);
    t16 = (t16 + 1);
    t22 = (t21 + 12U);
    *((unsigned int *)t22) = t16;
    t13 = xsi_base_array_concat(t13, t26, t20, (char)99, t11, (char)97, t1, t27, (char)101);
    t16 = (1U + 1U);
    t19 = (2U != t16);
    if (t19 == 1)
        goto LAB16;

LAB17:    t22 = (t0 + 8416);
    t23 = (t22 + 56U);
    t24 = *((char **)t23);
    t28 = (t24 + 56U);
    t31 = *((char **)t28);
    memcpy(t31, t13, 2U);
    xsi_driver_first_trans_fast(t22);
    xsi_set_current_line(104, ng0);
    t1 = (t0 + 1192U);
    t3 = *((char **)t1);
    t5 = (0 - 0);
    t7 = (t5 * -1);
    t8 = (1U * t7);
    t9 = (0 + t8);
    t1 = (t3 + t9);
    t2 = *((unsigned char *)t1);
    t4 = (t0 + 3912U);
    t12 = *((char **)t4);
    t16 = (0 - 0);
    t17 = (t16 * 1U);
    t18 = (0 + t17);
    t4 = (t12 + t18);
    t20 = ((IEEE_P_2592010699) + 4024);
    t21 = (t27 + 0U);
    t22 = (t21 + 0U);
    *((int *)t22) = 0;
    t22 = (t21 + 4U);
    *((int *)t22) = 0;
    t22 = (t21 + 8U);
    *((int *)t22) = 1;
    t6 = (0 - 0);
    t29 = (t6 * 1);
    t29 = (t29 + 1);
    t22 = (t21 + 12U);
    *((unsigned int *)t22) = t29;
    t13 = xsi_base_array_concat(t13, t26, t20, (char)99, t2, (char)97, t4, t27, (char)101);
    t29 = (1U + 1U);
    t10 = (2U != t29);
    if (t10 == 1)
        goto LAB18;

LAB19:    t22 = (t0 + 8480);
    t23 = (t22 + 56U);
    t24 = *((char **)t23);
    t28 = (t24 + 56U);
    t31 = *((char **)t28);
    memcpy(t31, t13, 2U);
    xsi_driver_first_trans_fast(t22);
    goto LAB9;

LAB11:    t2 = (unsigned char)1;
    goto LAB13;

LAB14:    xsi_size_not_matching(86U, t29, 0);
    goto LAB15;

LAB16:    xsi_size_not_matching(2U, t16, 0);
    goto LAB17;

LAB18:    xsi_size_not_matching(2U, t29, 0);
    goto LAB19;

LAB20:    xsi_set_current_line(109, ng0);
    t12 = (t0 + 3592U);
    t13 = *((char **)t12);
    t14 = (2 - 1);
    t15 = (t14 * 43);
    t16 = (t15 - 0);
    t17 = (t16 * 1U);
    t18 = (0 + t17);
    t12 = (t13 + t18);
    t20 = (t27 + 0U);
    t21 = (t20 + 0U);
    *((int *)t21) = 43;
    t21 = (t20 + 4U);
    *((int *)t21) = 85;
    t21 = (t20 + 8U);
    *((int *)t21) = 1;
    t35 = (85 - 43);
    t29 = (t35 * 1);
    t29 = (t29 + 1);
    t21 = (t20 + 12U);
    *((unsigned int *)t21) = t29;
    t21 = (t0 + 3272U);
    t22 = *((char **)t21);
    t21 = (t0 + 12820U);
    t23 = ieee_p_1242562249_sub_3273497107_1035706684(IEEE_P_1242562249, t26, t12, t27, t22, t21);
    t24 = (t0 + 8544);
    t28 = (t24 + 56U);
    t31 = *((char **)t28);
    t32 = (t31 + 56U);
    t33 = *((char **)t32);
    memcpy(t33, t23, 43U);
    xsi_driver_first_trans_fast(t24);
    goto LAB21;

LAB23:    t1 = (t0 + 3752U);
    t4 = *((char **)t1);
    t5 = (2 - 1);
    t6 = (t5 - 0);
    t7 = (t6 * 1);
    t8 = (1U * t7);
    t9 = (0 + t8);
    t1 = (t4 + t9);
    t19 = *((unsigned char *)t1);
    t25 = (t19 == (unsigned char)3);
    t2 = t25;
    goto LAB25;

LAB26:    xsi_set_current_line(116, ng0);
    t1 = (t0 + 3912U);
    t4 = *((char **)t1);
    t5 = (2 - 1);
    t6 = (t5 - 0);
    t7 = (t6 * 1);
    t8 = (1U * t7);
    t9 = (0 + t8);
    t1 = (t4 + t9);
    t11 = *((unsigned char *)t1);
    t12 = (t0 + 3752U);
    t13 = *((char **)t12);
    t14 = (2 - 1);
    t15 = (t14 - 0);
    t16 = (t15 * 1);
    t17 = (1U * t16);
    t18 = (0 + t17);
    t12 = (t13 + t18);
    t19 = *((unsigned char *)t12);
    t25 = ieee_p_2592010699_sub_1605435078_503743352(IEEE_P_2592010699, t11, t19);
    t20 = (t0 + 8608);
    t21 = (t20 + 56U);
    t22 = *((char **)t21);
    t23 = (t22 + 56U);
    t24 = *((char **)t23);
    *((unsigned char *)t24) = t25;
    xsi_driver_first_trans_fast_port(t20);
    goto LAB27;

}
コード例 #2
0
static void work_a_2163255000_3212880686_p_0(char *t0)
{
    char t16[16];
    char t17[16];
    char *t1;
    char *t2;
    char *t3;
    int t4;
    int t5;
    char *t6;
    int t7;
    int t8;
    unsigned int t9;
    unsigned int t10;
    unsigned int t11;
    char *t12;
    char *t13;
    char *t14;
    unsigned char t15;
    char *t18;
    char *t19;
    char *t20;
    char *t21;
    char *t22;

LAB0:    xsi_set_current_line(62, ng0);
    t1 = (t0 + 1192U);
    t2 = *((char **)t1);
    t1 = (t0 + 2488U);
    t3 = *((char **)t1);
    t1 = (t3 + 0);
    memcpy(t1, t2, 32U);
    xsi_set_current_line(63, ng0);
    t1 = (t0 + 1032U);
    t2 = *((char **)t1);
    t1 = (t0 + 2728U);
    t3 = *((char **)t1);
    t1 = (t3 + 0);
    memcpy(t1, t2, 32U);
    xsi_set_current_line(64, ng0);
    t1 = (t0 + 1352U);
    t2 = *((char **)t1);
    t1 = (t0 + 2968U);
    t3 = *((char **)t1);
    t1 = (t3 + 0);
    memcpy(t1, t2, 32U);
    xsi_set_current_line(66, ng0);
    t1 = (t0 + 8840);
    *((int *)t1) = 0;
    t2 = (t0 + 8844);
    *((int *)t2) = 28;
    t4 = 0;
    t5 = 28;

LAB2:    if (t4 <= t5)
        goto LAB3;

LAB5:    xsi_set_current_line(80, ng0);
    t1 = (t0 + 2968U);
    t2 = *((char **)t1);
    t1 = (t0 + 7584U);
    t3 = (t0 + 2128U);
    t6 = *((char **)t3);
    t4 = *((int *)t6);
    t3 = ieee_p_1242562249_sub_3461525680_1035706684(IEEE_P_1242562249, t16, t2, t1, t4);
    t12 = (t0 + 2968U);
    t13 = *((char **)t12);
    t12 = (t13 + 0);
    t14 = (t16 + 12U);
    t9 = *((unsigned int *)t14);
    t10 = (1U * t9);
    memcpy(t12, t3, t10);
    xsi_set_current_line(81, ng0);
    t1 = (t0 + 2968U);
    t2 = *((char **)t1);
    t1 = (t0 + 4472);
    t3 = (t1 + 56U);
    t6 = *((char **)t3);
    t12 = (t6 + 56U);
    t13 = *((char **)t12);
    memcpy(t13, t2, 32U);
    xsi_driver_first_trans_fast_port(t1);
    t1 = (t0 + 4392);
    *((int *)t1) = 1;

LAB1:    return;
LAB3:    xsi_set_current_line(67, ng0);
    t3 = (t0 + 2368U);
    t6 = *((char **)t3);
    t3 = (t0 + 8840);
    t7 = *((int *)t3);
    t8 = (t7 - 0);
    t9 = (t8 * 1);
    xsi_vhdl_check_range_of_index(0, 28, 1, *((int *)t3));
    t10 = (32U * t9);
    t11 = (0 + t10);
    t12 = (t6 + t11);
    t13 = (t0 + 3088U);
    t14 = *((char **)t13);
    t13 = (t14 + 0);
    memcpy(t13, t12, 32U);
    xsi_set_current_line(68, ng0);
    t1 = (t0 + 2728U);
    t2 = *((char **)t1);
    t1 = (t0 + 7584U);
    t15 = ieee_p_1242562249_sub_3840967975_1035706684(IEEE_P_1242562249, t2, t1, 0);
    if (t15 != 0)
        goto LAB6;

LAB8:    xsi_set_current_line(73, ng0);
    t1 = (t0 + 2488U);
    t2 = *((char **)t1);
    t1 = (t0 + 7584U);
    t3 = (t0 + 2728U);
    t6 = *((char **)t3);
    t3 = (t0 + 7584U);
    t12 = (t0 + 8840);
    t13 = ieee_p_1242562249_sub_3064532541_1035706684(IEEE_P_1242562249, t17, t6, t3, *((int *)t12));
    t14 = ieee_p_1242562249_sub_3273568981_1035706684(IEEE_P_1242562249, t16, t2, t1, t13, t17);
    t18 = (t0 + 2608U);
    t19 = *((char **)t18);
    t18 = (t19 + 0);
    t20 = (t16 + 12U);
    t9 = *((unsigned int *)t20);
    t10 = (1U * t9);
    memcpy(t18, t14, t10);
    xsi_set_current_line(74, ng0);
    t1 = (t0 + 2728U);
    t2 = *((char **)t1);
    t1 = (t0 + 7584U);
    t3 = (t0 + 2488U);
    t6 = *((char **)t3);
    t3 = (t0 + 7584U);
    t12 = (t0 + 8840);
    t13 = ieee_p_1242562249_sub_3064532541_1035706684(IEEE_P_1242562249, t17, t6, t3, *((int *)t12));
    t14 = ieee_p_1242562249_sub_3273497107_1035706684(IEEE_P_1242562249, t16, t2, t1, t13, t17);
    t18 = (t0 + 2848U);
    t19 = *((char **)t18);
    t18 = (t19 + 0);
    t20 = (t16 + 12U);
    t9 = *((unsigned int *)t20);
    t10 = (1U * t9);
    memcpy(t18, t14, t10);
    xsi_set_current_line(75, ng0);
    t1 = (t0 + 2968U);
    t2 = *((char **)t1);
    t1 = (t0 + 7584U);
    t3 = (t0 + 3088U);
    t6 = *((char **)t3);
    t3 = (t0 + 7584U);
    t12 = ieee_p_1242562249_sub_3273568981_1035706684(IEEE_P_1242562249, t16, t2, t1, t6, t3);
    t13 = (t0 + 2968U);
    t14 = *((char **)t13);
    t13 = (t14 + 0);
    t18 = (t16 + 12U);
    t9 = *((unsigned int *)t18);
    t10 = (1U * t9);
    memcpy(t13, t12, t10);

LAB7:    xsi_set_current_line(77, ng0);
    t1 = (t0 + 2608U);
    t2 = *((char **)t1);
    t1 = (t0 + 2488U);
    t3 = *((char **)t1);
    t1 = (t3 + 0);
    memcpy(t1, t2, 32U);
    xsi_set_current_line(78, ng0);
    t1 = (t0 + 2848U);
    t2 = *((char **)t1);
    t1 = (t0 + 2728U);
    t3 = *((char **)t1);
    t1 = (t3 + 0);
    memcpy(t1, t2, 32U);

LAB4:    t1 = (t0 + 8840);
    t4 = *((int *)t1);
    t2 = (t0 + 8844);
    t5 = *((int *)t2);
    if (t4 == t5)
        goto LAB5;

LAB9:    t7 = (t4 + 1);
    t4 = t7;
    t3 = (t0 + 8840);
    *((int *)t3) = t4;
    goto LAB2;

LAB6:    xsi_set_current_line(69, ng0);
    t3 = (t0 + 2488U);
    t6 = *((char **)t3);
    t3 = (t0 + 7584U);
    t12 = (t0 + 2728U);
    t13 = *((char **)t12);
    t12 = (t0 + 7584U);
    t14 = (t0 + 8840);
    t18 = ieee_p_1242562249_sub_3064532541_1035706684(IEEE_P_1242562249, t17, t13, t12, *((int *)t14));
    t19 = ieee_p_1242562249_sub_3273497107_1035706684(IEEE_P_1242562249, t16, t6, t3, t18, t17);
    t20 = (t0 + 2608U);
    t21 = *((char **)t20);
    t20 = (t21 + 0);
    t22 = (t16 + 12U);
    t9 = *((unsigned int *)t22);
    t10 = (1U * t9);
    memcpy(t20, t19, t10);
    xsi_set_current_line(70, ng0);
    t1 = (t0 + 2728U);
    t2 = *((char **)t1);
    t1 = (t0 + 7584U);
    t3 = (t0 + 2488U);
    t6 = *((char **)t3);
    t3 = (t0 + 7584U);
    t12 = (t0 + 8840);
    t13 = ieee_p_1242562249_sub_3064532541_1035706684(IEEE_P_1242562249, t17, t6, t3, *((int *)t12));
    t14 = ieee_p_1242562249_sub_3273568981_1035706684(IEEE_P_1242562249, t16, t2, t1, t13, t17);
    t18 = (t0 + 2848U);
    t19 = *((char **)t18);
    t18 = (t19 + 0);
    t20 = (t16 + 12U);
    t9 = *((unsigned int *)t20);
    t10 = (1U * t9);
    memcpy(t18, t14, t10);
    xsi_set_current_line(71, ng0);
    t1 = (t0 + 2968U);
    t2 = *((char **)t1);
    t1 = (t0 + 7584U);
    t3 = (t0 + 3088U);
    t6 = *((char **)t3);
    t3 = (t0 + 7584U);
    t12 = ieee_p_1242562249_sub_3273497107_1035706684(IEEE_P_1242562249, t16, t2, t1, t6, t3);
    t13 = (t0 + 2968U);
    t14 = *((char **)t13);
    t13 = (t14 + 0);
    t18 = (t16 + 12U);
    t9 = *((unsigned int *)t18);
    t10 = (1U * t9);
    memcpy(t13, t12, t10);
    goto LAB7;

}
コード例 #3
0
static void work_a_4042967392_3212880686_p_8(char *t0)
{
    char t51[16];
    char t52[16];
    char *t1;
    unsigned char t2;
    unsigned char t3;
    char *t4;
    char *t5;
    unsigned char t6;
    unsigned char t7;
    unsigned char t8;
    unsigned char t9;
    char *t10;
    int t11;
    int t12;
    unsigned int t13;
    unsigned int t14;
    unsigned int t15;
    unsigned char t16;
    unsigned char t17;
    char *t18;
    char *t19;
    unsigned char t20;
    unsigned char t21;
    unsigned char t22;
    unsigned char t23;
    char *t24;
    int t25;
    int t26;
    unsigned int t27;
    unsigned int t28;
    unsigned int t29;
    unsigned char t30;
    unsigned char t31;
    char *t32;
    char *t33;
    unsigned char t34;
    unsigned char t35;
    char *t36;
    unsigned char t37;
    unsigned char t38;
    char *t39;
    int t40;
    int t41;
    unsigned int t42;
    unsigned int t43;
    unsigned int t44;
    unsigned char t45;
    char *t46;
    char *t47;
    char *t48;
    char *t49;
    char *t50;
    char *t53;
    char *t54;
    char *t55;

LAB0:    xsi_set_current_line(100, ng0);
    t1 = (t0 + 992U);
    t2 = ieee_p_2592010699_sub_1744673427_503743352(IEEE_P_2592010699, t1, 0U, 0U);
    if (t2 != 0)
        goto LAB2;

LAB4:
LAB3:    t1 = (t0 + 8504);
    *((int *)t1) = 1;

LAB1:    return;
LAB2:    xsi_set_current_line(102, ng0);
    t4 = (t0 + 3432U);
    t5 = *((char **)t4);
    t6 = *((unsigned char *)t5);
    t7 = (t6 == (unsigned char)3);
    if (t7 == 1)
        goto LAB8;

LAB9:    t3 = (unsigned char)0;

LAB10:    if (t3 != 0)
        goto LAB5;

LAB7:
LAB6:    xsi_set_current_line(107, ng0);
    t1 = (t0 + 3432U);
    t4 = *((char **)t1);
    t7 = *((unsigned char *)t4);
    t8 = (t7 == (unsigned char)3);
    if (t8 == 1)
        goto LAB32;

LAB33:    t6 = (unsigned char)0;

LAB34:    if (t6 == 1)
        goto LAB29;

LAB30:    t1 = (t0 + 3432U);
    t10 = *((char **)t1);
    t21 = *((unsigned char *)t10);
    t22 = (t21 == (unsigned char)3);
    if (t22 == 1)
        goto LAB38;

LAB39:    t20 = (unsigned char)0;

LAB40:    if (t20 == 1)
        goto LAB35;

LAB36:    t17 = (unsigned char)0;

LAB37:    t3 = t17;

LAB31:    if (t3 == 1)
        goto LAB26;

LAB27:    t1 = (t0 + 4232U);
    t24 = *((char **)t1);
    t11 = (2 - 1);
    t12 = (t11 - 0);
    t13 = (t12 * 1);
    t14 = (1U * t13);
    t15 = (0 + t14);
    t1 = (t24 + t15);
    t35 = *((unsigned char *)t1);
    t37 = (t35 == (unsigned char)2);
    t2 = t37;

LAB28:    if (t2 != 0)
        goto LAB23;

LAB25:
LAB24:    xsi_set_current_line(114, ng0);
    t1 = (t0 + 3432U);
    t4 = *((char **)t1);
    t3 = *((unsigned char *)t4);
    t6 = (t3 == (unsigned char)3);
    if (t6 == 1)
        goto LAB50;

LAB51:    t2 = (unsigned char)0;

LAB52:    if (t2 != 0)
        goto LAB47;

LAB49:
LAB48:    xsi_set_current_line(121, ng0);
    t1 = (t0 + 3432U);
    t4 = *((char **)t1);
    t2 = *((unsigned char *)t4);
    t3 = (t2 == (unsigned char)3);
    if (t3 != 0)
        goto LAB53;

LAB55:
LAB54:    goto LAB3;

LAB5:    xsi_set_current_line(103, ng0);
    t32 = (t0 + 4392U);
    t39 = *((char **)t32);
    t40 = (2 - 1);
    t41 = (t40 - 0);
    t42 = (t41 * 1);
    t43 = (1U * t42);
    t44 = (0 + t43);
    t32 = (t39 + t44);
    t45 = *((unsigned char *)t32);
    t46 = (t0 + 9096);
    t47 = (t46 + 56U);
    t48 = *((char **)t47);
    t49 = (t48 + 56U);
    t50 = *((char **)t49);
    *((unsigned char *)t50) = t45;
    xsi_driver_first_trans_fast(t46);
    goto LAB6;

LAB8:    t4 = (t0 + 4232U);
    t10 = *((char **)t4);
    t11 = (2 - 1);
    t12 = (t11 - 0);
    t13 = (t12 * 1);
    t14 = (1U * t13);
    t15 = (0 + t14);
    t4 = (t10 + t15);
    t16 = *((unsigned char *)t4);
    t17 = (t16 == (unsigned char)3);
    if (t17 == 1)
        goto LAB14;

LAB15:    t9 = (unsigned char)0;

LAB16:    if (t9 == 1)
        goto LAB11;

LAB12:    t18 = (t0 + 4232U);
    t24 = *((char **)t18);
    t25 = (2 - 1);
    t26 = (t25 - 0);
    t27 = (t26 * 1);
    t28 = (1U * t27);
    t29 = (0 + t28);
    t18 = (t24 + t29);
    t30 = *((unsigned char *)t18);
    t31 = (t30 == (unsigned char)3);
    if (t31 == 1)
        goto LAB20;

LAB21:    t23 = (unsigned char)0;

LAB22:    if (t23 == 1)
        goto LAB17;

LAB18:    t22 = (unsigned char)0;

LAB19:    t8 = t22;

LAB13:    t3 = t8;
    goto LAB10;

LAB11:    t8 = (unsigned char)1;
    goto LAB13;

LAB14:    t18 = (t0 + 4552U);
    t19 = *((char **)t18);
    t20 = *((unsigned char *)t19);
    t21 = (t20 == (unsigned char)2);
    t9 = t21;
    goto LAB16;

LAB17:    t32 = (t0 + 4552U);
    t36 = *((char **)t32);
    t37 = *((unsigned char *)t36);
    t38 = (t37 == (unsigned char)3);
    t22 = t38;
    goto LAB19;

LAB20:    t32 = (t0 + 2792U);
    t33 = *((char **)t32);
    t34 = *((unsigned char *)t33);
    t35 = (t34 == (unsigned char)3);
    t23 = t35;
    goto LAB22;

LAB23:    xsi_set_current_line(108, ng0);
    t32 = (t0 + 3592U);
    t33 = *((char **)t32);
    t32 = (t0 + 4072U);
    t36 = *((char **)t32);
    t27 = (0 - 0);
    t28 = (t27 * 1U);
    t29 = (0 + t28);
    t32 = (t36 + t29);
    t46 = ((IEEE_P_2592010699) + 4024);
    t47 = (t0 + 14092U);
    t48 = (t52 + 0U);
    t49 = (t48 + 0U);
    *((int *)t49) = 0;
    t49 = (t48 + 4U);
    *((int *)t49) = 42;
    t49 = (t48 + 8U);
    *((int *)t49) = 1;
    t25 = (42 - 0);
    t42 = (t25 * 1);
    t42 = (t42 + 1);
    t49 = (t48 + 12U);
    *((unsigned int *)t49) = t42;
    t39 = xsi_base_array_concat(t39, t51, t46, (char)97, t33, t47, (char)97, t32, t52, (char)101);
    t42 = (43U + 43U);
    t38 = (86U != t42);
    if (t38 == 1)
        goto LAB41;

LAB42:    t49 = (t0 + 9160);
    t50 = (t49 + 56U);
    t53 = *((char **)t50);
    t54 = (t53 + 56U);
    t55 = *((char **)t54);
    memcpy(t55, t39, 86U);
    xsi_driver_first_trans_fast(t49);
    xsi_set_current_line(109, ng0);
    t1 = (t0 + 1352U);
    t4 = *((char **)t1);
    t2 = *((unsigned char *)t4);
    t1 = (t0 + 1832U);
    t5 = *((char **)t1);
    t3 = *((unsigned char *)t5);
    t6 = ieee_p_2592010699_sub_1605435078_503743352(IEEE_P_2592010699, t2, t3);
    t1 = (t0 + 2312U);
    t10 = *((char **)t1);
    t7 = *((unsigned char *)t10);
    t8 = ieee_p_2592010699_sub_1605435078_503743352(IEEE_P_2592010699, t6, t7);
    t1 = (t0 + 4232U);
    t18 = *((char **)t1);
    t13 = (0 - 0);
    t14 = (t13 * 1U);
    t15 = (0 + t14);
    t1 = (t18 + t15);
    t24 = ((IEEE_P_2592010699) + 4024);
    t32 = (t52 + 0U);
    t33 = (t32 + 0U);
    *((int *)t33) = 0;
    t33 = (t32 + 4U);
    *((int *)t33) = 0;
    t33 = (t32 + 8U);
    *((int *)t33) = 1;
    t11 = (0 - 0);
    t27 = (t11 * 1);
    t27 = (t27 + 1);
    t33 = (t32 + 12U);
    *((unsigned int *)t33) = t27;
    t19 = xsi_base_array_concat(t19, t51, t24, (char)99, t8, (char)97, t1, t52, (char)101);
    t27 = (1U + 1U);
    t9 = (2U != t27);
    if (t9 == 1)
        goto LAB43;

LAB44:    t33 = (t0 + 9224);
    t36 = (t33 + 56U);
    t39 = *((char **)t36);
    t46 = (t39 + 56U);
    t47 = *((char **)t46);
    memcpy(t47, t19, 2U);
    xsi_driver_first_trans_fast(t33);
    xsi_set_current_line(110, ng0);
    t1 = (t0 + 2152U);
    t4 = *((char **)t1);
    t11 = (0 - 0);
    t13 = (t11 * -1);
    t14 = (1U * t13);
    t15 = (0 + t14);
    t1 = (t4 + t15);
    t2 = *((unsigned char *)t1);
    t5 = (t0 + 4392U);
    t10 = *((char **)t5);
    t27 = (0 - 0);
    t28 = (t27 * 1U);
    t29 = (0 + t28);
    t5 = (t10 + t29);
    t19 = ((IEEE_P_2592010699) + 4024);
    t24 = (t52 + 0U);
    t32 = (t24 + 0U);
    *((int *)t32) = 0;
    t32 = (t24 + 4U);
    *((int *)t32) = 0;
    t32 = (t24 + 8U);
    *((int *)t32) = 1;
    t12 = (0 - 0);
    t42 = (t12 * 1);
    t42 = (t42 + 1);
    t32 = (t24 + 12U);
    *((unsigned int *)t32) = t42;
    t18 = xsi_base_array_concat(t18, t51, t19, (char)99, t2, (char)97, t5, t52, (char)101);
    t42 = (1U + 1U);
    t3 = (2U != t42);
    if (t3 == 1)
        goto LAB45;

LAB46:    t32 = (t0 + 9288);
    t33 = (t32 + 56U);
    t36 = *((char **)t33);
    t39 = (t36 + 56U);
    t46 = *((char **)t39);
    memcpy(t46, t18, 2U);
    xsi_driver_first_trans_fast(t32);
    goto LAB24;

LAB26:    t2 = (unsigned char)1;
    goto LAB28;

LAB29:    t3 = (unsigned char)1;
    goto LAB31;

LAB32:    t1 = (t0 + 4552U);
    t5 = *((char **)t1);
    t9 = *((unsigned char *)t5);
    t16 = (t9 == (unsigned char)2);
    t6 = t16;
    goto LAB34;

LAB35:    t1 = (t0 + 2792U);
    t19 = *((char **)t1);
    t31 = *((unsigned char *)t19);
    t34 = (t31 == (unsigned char)3);
    t17 = t34;
    goto LAB37;

LAB38:    t1 = (t0 + 4552U);
    t18 = *((char **)t1);
    t23 = *((unsigned char *)t18);
    t30 = (t23 == (unsigned char)3);
    t20 = t30;
    goto LAB40;

LAB41:    xsi_size_not_matching(86U, t42, 0);
    goto LAB42;

LAB43:    xsi_size_not_matching(2U, t27, 0);
    goto LAB44;

LAB45:    xsi_size_not_matching(2U, t42, 0);
    goto LAB46;

LAB47:    xsi_set_current_line(115, ng0);
    t10 = (t0 + 4072U);
    t18 = *((char **)t10);
    t25 = (2 - 1);
    t26 = (t25 * 43);
    t27 = (t26 - 0);
    t28 = (t27 * 1U);
    t29 = (0 + t28);
    t10 = (t18 + t29);
    t19 = (t52 + 0U);
    t24 = (t19 + 0U);
    *((int *)t24) = 43;
    t24 = (t19 + 4U);
    *((int *)t24) = 85;
    t24 = (t19 + 8U);
    *((int *)t24) = 1;
    t40 = (85 - 43);
    t42 = (t40 * 1);
    t42 = (t42 + 1);
    t24 = (t19 + 12U);
    *((unsigned int *)t24) = t42;
    t24 = (t0 + 3752U);
    t32 = *((char **)t24);
    t24 = (t0 + 14108U);
    t33 = ieee_p_1242562249_sub_3273497107_1035706684(IEEE_P_1242562249, t51, t10, t52, t32, t24);
    t36 = (t0 + 9352);
    t39 = (t36 + 56U);
    t46 = *((char **)t39);
    t47 = (t46 + 56U);
    t48 = *((char **)t47);
    memcpy(t48, t33, 43U);
    xsi_driver_first_trans_fast(t36);
    goto LAB48;

LAB50:    t1 = (t0 + 4232U);
    t5 = *((char **)t1);
    t11 = (2 - 1);
    t12 = (t11 - 0);
    t13 = (t12 * 1);
    t14 = (1U * t13);
    t15 = (0 + t14);
    t1 = (t5 + t15);
    t7 = *((unsigned char *)t1);
    t8 = (t7 == (unsigned char)3);
    t2 = t8;
    goto LAB52;

LAB53:    xsi_set_current_line(122, ng0);
    t1 = (t0 + 4392U);
    t5 = *((char **)t1);
    t11 = (2 - 1);
    t12 = (t11 - 0);
    t13 = (t12 * 1);
    t14 = (1U * t13);
    t15 = (0 + t14);
    t1 = (t5 + t15);
    t6 = *((unsigned char *)t1);
    t10 = (t0 + 4232U);
    t18 = *((char **)t10);
    t25 = (2 - 1);
    t26 = (t25 - 0);
    t27 = (t26 * 1);
    t28 = (1U * t27);
    t29 = (0 + t28);
    t10 = (t18 + t29);
    t7 = *((unsigned char *)t10);
    t8 = ieee_p_2592010699_sub_1605435078_503743352(IEEE_P_2592010699, t6, t7);
    t19 = (t0 + 9416);
    t24 = (t19 + 56U);
    t32 = *((char **)t24);
    t33 = (t32 + 56U);
    t36 = *((char **)t33);
    *((unsigned char *)t36) = t8;
    xsi_driver_first_trans_fast_port(t19);
    goto LAB54;

}
コード例 #4
0
static void work_a_0272816409_3212880686_p_0(char *t0)
{
    char t29[16];
    char t31[16];
    char *t1;
    char *t2;
    unsigned char t3;
    char *t4;
    unsigned char t5;
    char *t6;
    char *t7;
    unsigned char t8;
    unsigned char t9;
    char *t10;
    char *t11;
    char *t12;
    char *t13;
    int t14;
    int t15;
    int t16;
    char *t17;
    int t18;
    char *t19;
    char *t20;
    int t21;
    char *t22;
    char *t23;
    char *t24;
    char *t25;
    char *t26;
    char *t27;
    char *t28;
    unsigned int t30;
    unsigned int t32;
    unsigned int t33;

LAB0:    t1 = (t0 + 3952U);
    t2 = *((char **)t1);
    if (t2 == 0)
        goto LAB2;

LAB3:    goto *t2;

LAB2:    xsi_set_current_line(30, ng0);

LAB6:    t2 = (t0 + 4272);
    *((int *)t2) = 1;
    *((char **)t1) = &&LAB7;

LAB1:    return;
LAB4:    t6 = (t0 + 4272);
    *((int *)t6) = 0;
    xsi_set_current_line(31, ng0);
    t2 = (t0 + 1192U);
    t4 = *((char **)t2);
    t3 = *((unsigned char *)t4);
    t5 = (t3 == (unsigned char)3);
    if (t5 != 0)
        goto LAB11;

LAB13:    xsi_set_current_line(34, ng0);
    t2 = (t0 + 2472U);
    t4 = *((char **)t2);
    t2 = (t0 + 7207);
    t14 = xsi_mem_cmp(t2, t4, 3U);
    if (t14 == 1)
        goto LAB15;

LAB21:    t7 = (t0 + 7210);
    t15 = xsi_mem_cmp(t7, t4, 3U);
    if (t15 == 1)
        goto LAB16;

LAB22:    t11 = (t0 + 7213);
    t16 = xsi_mem_cmp(t11, t4, 3U);
    if (t16 == 1)
        goto LAB17;

LAB23:    t13 = (t0 + 7216);
    t18 = xsi_mem_cmp(t13, t4, 3U);
    if (t18 == 1)
        goto LAB18;

LAB24:    t19 = (t0 + 7219);
    t21 = xsi_mem_cmp(t19, t4, 3U);
    if (t21 == 1)
        goto LAB19;

LAB25:
LAB20:    xsi_set_current_line(68, ng0);
    t2 = (t0 + 7351);
    t6 = (t0 + 4352);
    t7 = (t6 + 56U);
    t10 = *((char **)t7);
    t11 = (t10 + 56U);
    t12 = *((char **)t11);
    memcpy(t12, t2, 3U);
    xsi_driver_first_trans_fast(t6);

LAB14:
LAB12:    goto LAB2;

LAB5:    t4 = (t0 + 1312U);
    t5 = xsi_signal_has_event(t4);
    if (t5 == 1)
        goto LAB8;

LAB9:    t3 = (unsigned char)0;

LAB10:    if (t3 == 1)
        goto LAB4;
    else
        goto LAB6;

LAB7:    goto LAB5;

LAB8:    t6 = (t0 + 1352U);
    t7 = *((char **)t6);
    t8 = *((unsigned char *)t7);
    t9 = (t8 == (unsigned char)3);
    t3 = t9;
    goto LAB10;

LAB11:    xsi_set_current_line(32, ng0);
    t2 = (t0 + 7204);
    t7 = (t0 + 4352);
    t10 = (t7 + 56U);
    t11 = *((char **)t10);
    t12 = (t11 + 56U);
    t13 = *((char **)t12);
    memcpy(t13, t2, 3U);
    xsi_driver_first_trans_fast(t7);
    goto LAB12;

LAB15:    xsi_set_current_line(35, ng0);
    t22 = (t0 + 1032U);
    t23 = *((char **)t22);
    t3 = *((unsigned char *)t23);
    t5 = (t3 == (unsigned char)3);
    if (t5 != 0)
        goto LAB27;

LAB29:    xsi_set_current_line(38, ng0);
    t2 = (t0 + 7229);
    t6 = (t0 + 4352);
    t7 = (t6 + 56U);
    t10 = *((char **)t7);
    t11 = (t10 + 56U);
    t12 = *((char **)t11);
    memcpy(t12, t2, 3U);
    xsi_driver_first_trans_fast(t6);

LAB28:    goto LAB14;

LAB16:    xsi_set_current_line(40, ng0);
    t2 = (t0 + 2632U);
    t4 = *((char **)t2);
    t2 = (t0 + 7128U);
    t6 = (t0 + 7232);
    t10 = (t29 + 0U);
    t11 = (t10 + 0U);
    *((int *)t11) = 0;
    t11 = (t10 + 4U);
    *((int *)t11) = 12;
    t11 = (t10 + 8U);
    *((int *)t11) = 1;
    t14 = (12 - 0);
    t30 = (t14 * 1);
    t30 = (t30 + 1);
    t11 = (t10 + 12U);
    *((unsigned int *)t11) = t30;
    t3 = ieee_p_1242562249_sub_2720006528_1035706684(IEEE_P_1242562249, t4, t2, t6, t29);
    if (t3 != 0)
        goto LAB30;

LAB32:    xsi_set_current_line(45, ng0);
    t2 = (t0 + 2632U);
    t4 = *((char **)t2);
    t2 = (t0 + 7128U);
    t6 = (t0 + 7252);
    t10 = (t31 + 0U);
    t11 = (t10 + 0U);
    *((int *)t11) = 0;
    t11 = (t10 + 4U);
    *((int *)t11) = 12;
    t11 = (t10 + 8U);
    *((int *)t11) = 1;
    t14 = (12 - 0);
    t30 = (t14 * 1);
    t30 = (t30 + 1);
    t11 = (t10 + 12U);
    *((unsigned int *)t11) = t30;
    t11 = ieee_p_1242562249_sub_3273568981_1035706684(IEEE_P_1242562249, t29, t4, t2, t6, t31);
    t12 = (t29 + 12U);
    t30 = *((unsigned int *)t12);
    t32 = (1U * t30);
    t3 = (13U != t32);
    if (t3 == 1)
        goto LAB33;

LAB34:    t13 = (t0 + 4416);
    t17 = (t13 + 56U);
    t19 = *((char **)t17);
    t20 = (t19 + 56U);
    t22 = *((char **)t20);
    memcpy(t22, t11, 13U);
    xsi_driver_first_trans_fast(t13);
    xsi_set_current_line(46, ng0);
    t2 = (t0 + 2792U);
    t4 = *((char **)t2);
    t2 = (t0 + 7144U);
    t6 = (t0 + 7265);
    t10 = (t31 + 0U);
    t11 = (t10 + 0U);
    *((int *)t11) = 0;
    t11 = (t10 + 4U);
    *((int *)t11) = 3;
    t11 = (t10 + 8U);
    *((int *)t11) = 1;
    t14 = (3 - 0);
    t30 = (t14 * 1);
    t30 = (t30 + 1);
    t11 = (t10 + 12U);
    *((unsigned int *)t11) = t30;
    t11 = ieee_p_1242562249_sub_3273497107_1035706684(IEEE_P_1242562249, t29, t4, t2, t6, t31);
    t12 = (t29 + 12U);
    t30 = *((unsigned int *)t12);
    t32 = (1U * t30);
    t3 = (4U != t32);
    if (t3 == 1)
        goto LAB35;

LAB36:    t13 = (t0 + 4480);
    t17 = (t13 + 56U);
    t19 = *((char **)t17);
    t20 = (t19 + 56U);
    t22 = *((char **)t20);
    memcpy(t22, t11, 4U);
    xsi_driver_first_trans_fast(t13);
    xsi_set_current_line(47, ng0);
    t2 = (t0 + 7269);
    t6 = (t0 + 4352);
    t7 = (t6 + 56U);
    t10 = *((char **)t7);
    t11 = (t10 + 56U);
    t12 = *((char **)t11);
    memcpy(t12, t2, 3U);
    xsi_driver_first_trans_fast(t6);

LAB31:    goto LAB14;

LAB17:    xsi_set_current_line(49, ng0);
    t2 = (t0 + 2632U);
    t4 = *((char **)t2);
    t2 = (t0 + 7128U);
    t6 = (t0 + 7272);
    t10 = (t29 + 0U);
    t11 = (t10 + 0U);
    *((int *)t11) = 0;
    t11 = (t10 + 4U);
    *((int *)t11) = 12;
    t11 = (t10 + 8U);
    *((int *)t11) = 1;
    t14 = (12 - 0);
    t30 = (t14 * 1);
    t30 = (t30 + 1);
    t11 = (t10 + 12U);
    *((unsigned int *)t11) = t30;
    t3 = ieee_p_1242562249_sub_2720006528_1035706684(IEEE_P_1242562249, t4, t2, t6, t29);
    if (t3 != 0)
        goto LAB37;

LAB39:    xsi_set_current_line(54, ng0);
    t2 = (t0 + 2632U);
    t4 = *((char **)t2);
    t2 = (t0 + 7128U);
    t6 = (t0 + 7292);
    t10 = (t31 + 0U);
    t11 = (t10 + 0U);
    *((int *)t11) = 0;
    t11 = (t10 + 4U);
    *((int *)t11) = 12;
    t11 = (t10 + 8U);
    *((int *)t11) = 1;
    t14 = (12 - 0);
    t30 = (t14 * 1);
    t30 = (t30 + 1);
    t11 = (t10 + 12U);
    *((unsigned int *)t11) = t30;
    t11 = ieee_p_1242562249_sub_3273568981_1035706684(IEEE_P_1242562249, t29, t4, t2, t6, t31);
    t12 = (t29 + 12U);
    t30 = *((unsigned int *)t12);
    t32 = (1U * t30);
    t3 = (13U != t32);
    if (t3 == 1)
        goto LAB40;

LAB41:    t13 = (t0 + 4416);
    t17 = (t13 + 56U);
    t19 = *((char **)t17);
    t20 = (t19 + 56U);
    t22 = *((char **)t20);
    memcpy(t22, t11, 13U);
    xsi_driver_first_trans_fast(t13);
    xsi_set_current_line(55, ng0);
    t2 = (t0 + 2792U);
    t4 = *((char **)t2);
    t2 = (t0 + 7144U);
    t6 = (t0 + 7305);
    t10 = (t31 + 0U);
    t11 = (t10 + 0U);
    *((int *)t11) = 0;
    t11 = (t10 + 4U);
    *((int *)t11) = 3;
    t11 = (t10 + 8U);
    *((int *)t11) = 1;
    t14 = (3 - 0);
    t30 = (t14 * 1);
    t30 = (t30 + 1);
    t11 = (t10 + 12U);
    *((unsigned int *)t11) = t30;
    t11 = ieee_p_1242562249_sub_3273497107_1035706684(IEEE_P_1242562249, t29, t4, t2, t6, t31);
    t12 = (t29 + 12U);
    t30 = *((unsigned int *)t12);
    t32 = (1U * t30);
    t3 = (4U != t32);
    if (t3 == 1)
        goto LAB42;

LAB43:    t13 = (t0 + 4480);
    t17 = (t13 + 56U);
    t19 = *((char **)t17);
    t20 = (t19 + 56U);
    t22 = *((char **)t20);
    memcpy(t22, t11, 4U);
    xsi_driver_first_trans_fast(t13);
    xsi_set_current_line(56, ng0);
    t2 = (t0 + 7309);
    t6 = (t0 + 4352);
    t7 = (t6 + 56U);
    t10 = *((char **)t7);
    t11 = (t10 + 56U);
    t12 = *((char **)t11);
    memcpy(t12, t2, 3U);
    xsi_driver_first_trans_fast(t6);

LAB38:    goto LAB14;

LAB18:    xsi_set_current_line(58, ng0);
    t2 = (t0 + 2632U);
    t4 = *((char **)t2);
    t2 = (t0 + 7128U);
    t6 = (t0 + 7312);
    t10 = (t29 + 0U);
    t11 = (t10 + 0U);
    *((int *)t11) = 0;
    t11 = (t10 + 4U);
    *((int *)t11) = 12;
    t11 = (t10 + 8U);
    *((int *)t11) = 1;
    t14 = (12 - 0);
    t30 = (t14 * 1);
    t30 = (t30 + 1);
    t11 = (t10 + 12U);
    *((unsigned int *)t11) = t30;
    t3 = ieee_p_1242562249_sub_2720006528_1035706684(IEEE_P_1242562249, t4, t2, t6, t29);
    if (t3 != 0)
        goto LAB44;

LAB46:    xsi_set_current_line(63, ng0);
    t2 = (t0 + 2632U);
    t4 = *((char **)t2);
    t2 = (t0 + 7128U);
    t6 = (t0 + 7328);
    t10 = (t31 + 0U);
    t11 = (t10 + 0U);
    *((int *)t11) = 0;
    t11 = (t10 + 4U);
    *((int *)t11) = 12;
    t11 = (t10 + 8U);
    *((int *)t11) = 1;
    t14 = (12 - 0);
    t30 = (t14 * 1);
    t30 = (t30 + 1);
    t11 = (t10 + 12U);
    *((unsigned int *)t11) = t30;
    t11 = ieee_p_1242562249_sub_3273568981_1035706684(IEEE_P_1242562249, t29, t4, t2, t6, t31);
    t12 = (t29 + 12U);
    t30 = *((unsigned int *)t12);
    t32 = (1U * t30);
    t3 = (13U != t32);
    if (t3 == 1)
        goto LAB47;

LAB48:    t13 = (t0 + 4416);
    t17 = (t13 + 56U);
    t19 = *((char **)t17);
    t20 = (t19 + 56U);
    t22 = *((char **)t20);
    memcpy(t22, t11, 13U);
    xsi_driver_first_trans_fast(t13);
    xsi_set_current_line(64, ng0);
    t2 = (t0 + 2792U);
    t4 = *((char **)t2);
    t2 = (t0 + 7144U);
    t6 = (t0 + 7341);
    t10 = (t31 + 0U);
    t11 = (t10 + 0U);
    *((int *)t11) = 0;
    t11 = (t10 + 4U);
    *((int *)t11) = 3;
    t11 = (t10 + 8U);
    *((int *)t11) = 1;
    t14 = (3 - 0);
    t30 = (t14 * 1);
    t30 = (t30 + 1);
    t11 = (t10 + 12U);
    *((unsigned int *)t11) = t30;
    t11 = ieee_p_1242562249_sub_3273497107_1035706684(IEEE_P_1242562249, t29, t4, t2, t6, t31);
    t12 = (t29 + 12U);
    t30 = *((unsigned int *)t12);
    t32 = (1U * t30);
    t3 = (4U != t32);
    if (t3 == 1)
        goto LAB49;

LAB50:    t13 = (t0 + 4480);
    t17 = (t13 + 56U);
    t19 = *((char **)t17);
    t20 = (t19 + 56U);
    t22 = *((char **)t20);
    memcpy(t22, t11, 4U);
    xsi_driver_first_trans_fast(t13);
    xsi_set_current_line(65, ng0);
    t2 = (t0 + 7345);
    t6 = (t0 + 4352);
    t7 = (t6 + 56U);
    t10 = *((char **)t7);
    t11 = (t10 + 56U);
    t12 = *((char **)t11);
    memcpy(t12, t2, 3U);
    xsi_driver_first_trans_fast(t6);

LAB45:    goto LAB14;

LAB19:    xsi_set_current_line(67, ng0);
    t2 = (t0 + 4800);
    t4 = (t2 + 56U);
    t6 = *((char **)t4);
    t7 = (t6 + 56U);
    t10 = *((char **)t7);
    *((unsigned char *)t10) = (unsigned char)3;
    xsi_driver_first_trans_fast_port(t2);
    xsi_set_current_line(67, ng0);
    t2 = (t0 + 7348);
    t6 = (t0 + 4352);
    t7 = (t6 + 56U);
    t10 = *((char **)t7);
    t11 = (t10 + 56U);
    t12 = *((char **)t11);
    memcpy(t12, t2, 3U);
    xsi_driver_first_trans_fast(t6);
    goto LAB14;

LAB26:;
LAB27:    xsi_set_current_line(36, ng0);
    t22 = (t0 + 1672U);
    t24 = *((char **)t22);
    t22 = (t0 + 4416);
    t25 = (t22 + 56U);
    t26 = *((char **)t25);
    t27 = (t26 + 56U);
    t28 = *((char **)t27);
    memcpy(t28, t24, 13U);
    xsi_driver_first_trans_fast(t22);
    xsi_set_current_line(36, ng0);
    t2 = (t0 + 7222);
    t6 = (t0 + 4480);
    t7 = (t6 + 56U);
    t10 = *((char **)t7);
    t11 = (t10 + 56U);
    t12 = *((char **)t11);
    memcpy(t12, t2, 4U);
    xsi_driver_first_trans_fast(t6);
    xsi_set_current_line(36, ng0);
    t2 = (t0 + 7226);
    t6 = (t0 + 4352);
    t7 = (t6 + 56U);
    t10 = *((char **)t7);
    t11 = (t10 + 56U);
    t12 = *((char **)t11);
    memcpy(t12, t2, 3U);
    xsi_driver_first_trans_fast(t6);
    goto LAB28;

LAB30:    xsi_set_current_line(41, ng0);
    t11 = (t0 + 7245);
    t13 = (t0 + 4480);
    t17 = (t13 + 56U);
    t19 = *((char **)t17);
    t20 = (t19 + 56U);
    t22 = *((char **)t20);
    memcpy(t22, t11, 4U);
    xsi_driver_first_trans_fast(t13);
    xsi_set_current_line(42, ng0);
    t2 = (t0 + 2792U);
    t4 = *((char **)t2);
    t2 = (t0 + 4544);
    t6 = (t2 + 56U);
    t7 = *((char **)t6);
    t10 = (t7 + 56U);
    t11 = *((char **)t10);
    memcpy(t11, t4, 4U);
    xsi_driver_first_trans_fast_port(t2);
    xsi_set_current_line(43, ng0);
    t2 = (t0 + 7249);
    t6 = (t0 + 4352);
    t7 = (t6 + 56U);
    t10 = *((char **)t7);
    t11 = (t10 + 56U);
    t12 = *((char **)t11);
    memcpy(t12, t2, 3U);
    xsi_driver_first_trans_fast(t6);
    goto LAB31;

LAB33:    xsi_size_not_matching(13U, t32, 0);
    goto LAB34;

LAB35:    xsi_size_not_matching(4U, t32, 0);
    goto LAB36;

LAB37:    xsi_set_current_line(50, ng0);
    t11 = (t0 + 7285);
    t13 = (t0 + 4480);
    t17 = (t13 + 56U);
    t19 = *((char **)t17);
    t20 = (t19 + 56U);
    t22 = *((char **)t20);
    memcpy(t22, t11, 4U);
    xsi_driver_first_trans_fast(t13);
    xsi_set_current_line(51, ng0);
    t2 = (t0 + 2792U);
    t4 = *((char **)t2);
    t2 = (t0 + 4608);
    t6 = (t2 + 56U);
    t7 = *((char **)t6);
    t10 = (t7 + 56U);
    t11 = *((char **)t10);
    memcpy(t11, t4, 4U);
    xsi_driver_first_trans_fast_port(t2);
    xsi_set_current_line(52, ng0);
    t2 = (t0 + 7289);
    t6 = (t0 + 4352);
    t7 = (t6 + 56U);
    t10 = *((char **)t7);
    t11 = (t10 + 56U);
    t12 = *((char **)t11);
    memcpy(t12, t2, 3U);
    xsi_driver_first_trans_fast(t6);
    goto LAB38;

LAB40:    xsi_size_not_matching(13U, t32, 0);
    goto LAB41;

LAB42:    xsi_size_not_matching(4U, t32, 0);
    goto LAB43;

LAB44:    xsi_set_current_line(59, ng0);
    t11 = (t0 + 2632U);
    t12 = *((char **)t11);
    t30 = (12 - 3);
    t32 = (t30 * 1U);
    t33 = (0 + t32);
    t11 = (t12 + t33);
    t13 = (t0 + 4672);
    t17 = (t13 + 56U);
    t19 = *((char **)t17);
    t20 = (t19 + 56U);
    t22 = *((char **)t20);
    memcpy(t22, t11, 4U);
    xsi_driver_first_trans_fast_port(t13);
    xsi_set_current_line(60, ng0);
    t2 = (t0 + 2792U);
    t4 = *((char **)t2);
    t2 = (t0 + 4736);
    t6 = (t2 + 56U);
    t7 = *((char **)t6);
    t10 = (t7 + 56U);
    t11 = *((char **)t10);
    memcpy(t11, t4, 4U);
    xsi_driver_first_trans_fast_port(t2);
    xsi_set_current_line(61, ng0);
    t2 = (t0 + 7325);
    t6 = (t0 + 4352);
    t7 = (t6 + 56U);
    t10 = *((char **)t7);
    t11 = (t10 + 56U);
    t12 = *((char **)t11);
    memcpy(t12, t2, 3U);
    xsi_driver_first_trans_fast(t6);
    goto LAB45;

LAB47:    xsi_size_not_matching(13U, t32, 0);
    goto LAB48;

LAB49:    xsi_size_not_matching(4U, t32, 0);
    goto LAB50;

}
コード例 #5
0
static void work_a_2248347227_3212880686_p_0(char *t0)
{
    char t6[16];
    char t12[16];
    char t21[16];
    char t33[16];
    char t36[16];
    char *t1;
    char *t2;
    unsigned int t3;
    unsigned int t4;
    unsigned int t5;
    char *t7;
    char *t8;
    int t9;
    unsigned int t10;
    char *t11;
    char *t13;
    char *t14;
    int t15;
    unsigned char t16;
    char *t17;
    char *t18;
    char *t19;
    char *t20;
    char *t22;
    char *t23;
    char *t24;
    char *t25;
    char *t26;
    unsigned int t27;
    unsigned char t28;
    char *t29;
    unsigned int t30;
    int t31;
    unsigned int t32;
    int t34;
    char *t35;
    int t37;
    char *t38;
    char *t39;
    char *t40;
    char *t41;

LAB0:    xsi_set_current_line(37, ng0);
    t1 = (t0 + 1032U);
    t2 = *((char **)t1);
    t3 = (5 - 5);
    t4 = (t3 * 1U);
    t5 = (0 + t4);
    t1 = (t2 + t5);
    t7 = (t6 + 0U);
    t8 = (t7 + 0U);
    *((int *)t8) = 5;
    t8 = (t7 + 4U);
    *((int *)t8) = 3;
    t8 = (t7 + 8U);
    *((int *)t8) = -1;
    t9 = (3 - 5);
    t10 = (t9 * -1);
    t10 = (t10 + 1);
    t8 = (t7 + 12U);
    *((unsigned int *)t8) = t10;
    t8 = (t0 + 6775);
    t13 = (t12 + 0U);
    t14 = (t13 + 0U);
    *((int *)t14) = 0;
    t14 = (t13 + 4U);
    *((int *)t14) = 2;
    t14 = (t13 + 8U);
    *((int *)t14) = 1;
    t15 = (2 - 0);
    t10 = (t15 * 1);
    t10 = (t10 + 1);
    t14 = (t13 + 12U);
    *((unsigned int *)t14) = t10;
    t16 = ieee_p_0774719531_sub_2698824431_2162500114(IEEE_P_0774719531, t1, t6, t8, t12);
    if (t16 != 0)
        goto LAB2;

LAB4:    t1 = (t0 + 1032U);
    t2 = *((char **)t1);
    t3 = (5 - 5);
    t4 = (t3 * 1U);
    t5 = (0 + t4);
    t1 = (t2 + t5);
    t7 = (t6 + 0U);
    t8 = (t7 + 0U);
    *((int *)t8) = 5;
    t8 = (t7 + 4U);
    *((int *)t8) = 3;
    t8 = (t7 + 8U);
    *((int *)t8) = -1;
    t9 = (3 - 5);
    t10 = (t9 * -1);
    t10 = (t10 + 1);
    t8 = (t7 + 12U);
    *((unsigned int *)t8) = t10;
    t8 = (t0 + 6802);
    t13 = (t12 + 0U);
    t14 = (t13 + 0U);
    *((int *)t14) = 0;
    t14 = (t13 + 4U);
    *((int *)t14) = 2;
    t14 = (t13 + 8U);
    *((int *)t14) = 1;
    t15 = (2 - 0);
    t10 = (t15 * 1);
    t10 = (t10 + 1);
    t14 = (t13 + 12U);
    *((unsigned int *)t14) = t10;
    t16 = ieee_p_0774719531_sub_2698824431_2162500114(IEEE_P_0774719531, t1, t6, t8, t12);
    if (t16 != 0)
        goto LAB30;

LAB31:    t1 = (t0 + 1032U);
    t2 = *((char **)t1);
    t3 = (5 - 5);
    t4 = (t3 * 1U);
    t5 = (0 + t4);
    t1 = (t2 + t5);
    t7 = (t6 + 0U);
    t8 = (t7 + 0U);
    *((int *)t8) = 5;
    t8 = (t7 + 4U);
    *((int *)t8) = 3;
    t8 = (t7 + 8U);
    *((int *)t8) = -1;
    t9 = (3 - 5);
    t10 = (t9 * -1);
    t10 = (t10 + 1);
    t8 = (t7 + 12U);
    *((unsigned int *)t8) = t10;
    t8 = (t0 + 6910);
    t13 = (t12 + 0U);
    t14 = (t13 + 0U);
    *((int *)t14) = 0;
    t14 = (t13 + 4U);
    *((int *)t14) = 2;
    t14 = (t13 + 8U);
    *((int *)t14) = 1;
    t15 = (2 - 0);
    t10 = (t15 * 1);
    t10 = (t10 + 1);
    t14 = (t13 + 12U);
    *((unsigned int *)t14) = t10;
    t16 = ieee_p_0774719531_sub_2698824431_2162500114(IEEE_P_0774719531, t1, t6, t8, t12);
    if (t16 != 0)
        goto LAB48;

LAB49:    t1 = (t0 + 1032U);
    t2 = *((char **)t1);
    t3 = (5 - 5);
    t4 = (t3 * 1U);
    t5 = (0 + t4);
    t1 = (t2 + t5);
    t7 = (t6 + 0U);
    t8 = (t7 + 0U);
    *((int *)t8) = 5;
    t8 = (t7 + 4U);
    *((int *)t8) = 3;
    t8 = (t7 + 8U);
    *((int *)t8) = -1;
    t9 = (3 - 5);
    t10 = (t9 * -1);
    t10 = (t10 + 1);
    t8 = (t7 + 12U);
    *((unsigned int *)t8) = t10;
    t8 = (t0 + 6931);
    t13 = (t12 + 0U);
    t14 = (t13 + 0U);
    *((int *)t14) = 0;
    t14 = (t13 + 4U);
    *((int *)t14) = 2;
    t14 = (t13 + 8U);
    *((int *)t14) = 1;
    t15 = (2 - 0);
    t10 = (t15 * 1);
    t10 = (t10 + 1);
    t14 = (t13 + 12U);
    *((unsigned int *)t14) = t10;
    t16 = ieee_p_0774719531_sub_2698824431_2162500114(IEEE_P_0774719531, t1, t6, t8, t12);
    if (t16 != 0)
        goto LAB81;

LAB82:    xsi_set_current_line(148, ng0);
    t1 = (t0 + 4080);
    t2 = (t1 + 56U);
    t7 = *((char **)t2);
    t8 = (t7 + 56U);
    t11 = *((char **)t8);
    *((unsigned char *)t11) = (unsigned char)2;
    xsi_driver_first_trans_fast(t1);
    xsi_set_current_line(150, ng0);
    t1 = xsi_get_transient_memory(32U);
    memset(t1, 0, 32U);
    t2 = t1;
    memset(t2, (unsigned char)2, 32U);
    t7 = (t0 + 4144);
    t8 = (t7 + 56U);
    t11 = *((char **)t8);
    t13 = (t11 + 56U);
    t14 = *((char **)t13);
    memcpy(t14, t1, 32U);
    xsi_driver_first_trans_fast(t7);

LAB3:    t1 = (t0 + 3968);
    *((int *)t1) = 1;

LAB1:    return;
LAB2:    xsi_set_current_line(38, ng0);
    t14 = (t0 + 4080);
    t17 = (t14 + 56U);
    t18 = *((char **)t17);
    t19 = (t18 + 56U);
    t20 = *((char **)t19);
    *((unsigned char *)t20) = (unsigned char)2;
    xsi_driver_first_trans_fast(t14);
    xsi_set_current_line(39, ng0);
    t1 = (t0 + 1032U);
    t2 = *((char **)t1);
    t3 = (5 - 2);
    t4 = (t3 * 1U);
    t5 = (0 + t4);
    t1 = (t2 + t5);
    t7 = (t6 + 0U);
    t8 = (t7 + 0U);
    *((int *)t8) = 2;
    t8 = (t7 + 4U);
    *((int *)t8) = 0;
    t8 = (t7 + 8U);
    *((int *)t8) = -1;
    t9 = (0 - 2);
    t10 = (t9 * -1);
    t10 = (t10 + 1);
    t8 = (t7 + 12U);
    *((unsigned int *)t8) = t10;
    t8 = (t0 + 6778);
    t13 = (t12 + 0U);
    t14 = (t13 + 0U);
    *((int *)t14) = 0;
    t14 = (t13 + 4U);
    *((int *)t14) = 2;
    t14 = (t13 + 8U);
    *((int *)t14) = 1;
    t15 = (2 - 0);
    t10 = (t15 * 1);
    t10 = (t10 + 1);
    t14 = (t13 + 12U);
    *((unsigned int *)t14) = t10;
    t16 = ieee_p_0774719531_sub_2698824431_2162500114(IEEE_P_0774719531, t1, t6, t8, t12);
    if (t16 != 0)
        goto LAB5;

LAB7:    t1 = (t0 + 1032U);
    t2 = *((char **)t1);
    t3 = (5 - 2);
    t4 = (t3 * 1U);
    t5 = (0 + t4);
    t1 = (t2 + t5);
    t7 = (t6 + 0U);
    t8 = (t7 + 0U);
    *((int *)t8) = 2;
    t8 = (t7 + 4U);
    *((int *)t8) = 0;
    t8 = (t7 + 8U);
    *((int *)t8) = -1;
    t9 = (0 - 2);
    t10 = (t9 * -1);
    t10 = (t10 + 1);
    t8 = (t7 + 12U);
    *((unsigned int *)t8) = t10;
    t8 = (t0 + 6781);
    t13 = (t12 + 0U);
    t14 = (t13 + 0U);
    *((int *)t14) = 0;
    t14 = (t13 + 4U);
    *((int *)t14) = 2;
    t14 = (t13 + 8U);
    *((int *)t14) = 1;
    t15 = (2 - 0);
    t10 = (t15 * 1);
    t10 = (t10 + 1);
    t14 = (t13 + 12U);
    *((unsigned int *)t14) = t10;
    t16 = ieee_p_0774719531_sub_2698824431_2162500114(IEEE_P_0774719531, t1, t6, t8, t12);
    if (t16 != 0)
        goto LAB8;

LAB9:    t1 = (t0 + 1032U);
    t2 = *((char **)t1);
    t3 = (5 - 2);
    t4 = (t3 * 1U);
    t5 = (0 + t4);
    t1 = (t2 + t5);
    t7 = (t6 + 0U);
    t8 = (t7 + 0U);
    *((int *)t8) = 2;
    t8 = (t7 + 4U);
    *((int *)t8) = 0;
    t8 = (t7 + 8U);
    *((int *)t8) = -1;
    t9 = (0 - 2);
    t10 = (t9 * -1);
    t10 = (t10 + 1);
    t8 = (t7 + 12U);
    *((unsigned int *)t8) = t10;
    t8 = (t0 + 6784);
    t13 = (t12 + 0U);
    t14 = (t13 + 0U);
    *((int *)t14) = 0;
    t14 = (t13 + 4U);
    *((int *)t14) = 2;
    t14 = (t13 + 8U);
    *((int *)t14) = 1;
    t15 = (2 - 0);
    t10 = (t15 * 1);
    t10 = (t10 + 1);
    t14 = (t13 + 12U);
    *((unsigned int *)t14) = t10;
    t16 = ieee_p_0774719531_sub_2698824431_2162500114(IEEE_P_0774719531, t1, t6, t8, t12);
    if (t16 != 0)
        goto LAB10;

LAB11:    t1 = (t0 + 1032U);
    t2 = *((char **)t1);
    t3 = (5 - 2);
    t4 = (t3 * 1U);
    t5 = (0 + t4);
    t1 = (t2 + t5);
    t7 = (t6 + 0U);
    t8 = (t7 + 0U);
    *((int *)t8) = 2;
    t8 = (t7 + 4U);
    *((int *)t8) = 0;
    t8 = (t7 + 8U);
    *((int *)t8) = -1;
    t9 = (0 - 2);
    t10 = (t9 * -1);
    t10 = (t10 + 1);
    t8 = (t7 + 12U);
    *((unsigned int *)t8) = t10;
    t8 = (t0 + 6787);
    t13 = (t12 + 0U);
    t14 = (t13 + 0U);
    *((int *)t14) = 0;
    t14 = (t13 + 4U);
    *((int *)t14) = 2;
    t14 = (t13 + 8U);
    *((int *)t14) = 1;
    t15 = (2 - 0);
    t10 = (t15 * 1);
    t10 = (t10 + 1);
    t14 = (t13 + 12U);
    *((unsigned int *)t14) = t10;
    t16 = ieee_p_0774719531_sub_2698824431_2162500114(IEEE_P_0774719531, t1, t6, t8, t12);
    if (t16 != 0)
        goto LAB12;

LAB13:    t1 = (t0 + 1032U);
    t2 = *((char **)t1);
    t3 = (5 - 2);
    t4 = (t3 * 1U);
    t5 = (0 + t4);
    t1 = (t2 + t5);
    t7 = (t6 + 0U);
    t8 = (t7 + 0U);
    *((int *)t8) = 2;
    t8 = (t7 + 4U);
    *((int *)t8) = 0;
    t8 = (t7 + 8U);
    *((int *)t8) = -1;
    t9 = (0 - 2);
    t10 = (t9 * -1);
    t10 = (t10 + 1);
    t8 = (t7 + 12U);
    *((unsigned int *)t8) = t10;
    t8 = (t0 + 6790);
    t13 = (t12 + 0U);
    t14 = (t13 + 0U);
    *((int *)t14) = 0;
    t14 = (t13 + 4U);
    *((int *)t14) = 2;
    t14 = (t13 + 8U);
    *((int *)t14) = 1;
    t15 = (2 - 0);
    t10 = (t15 * 1);
    t10 = (t10 + 1);
    t14 = (t13 + 12U);
    *((unsigned int *)t14) = t10;
    t16 = ieee_p_0774719531_sub_2698824431_2162500114(IEEE_P_0774719531, t1, t6, t8, t12);
    if (t16 != 0)
        goto LAB14;

LAB15:    t1 = (t0 + 1032U);
    t2 = *((char **)t1);
    t3 = (5 - 2);
    t4 = (t3 * 1U);
    t5 = (0 + t4);
    t1 = (t2 + t5);
    t7 = (t6 + 0U);
    t8 = (t7 + 0U);
    *((int *)t8) = 2;
    t8 = (t7 + 4U);
    *((int *)t8) = 0;
    t8 = (t7 + 8U);
    *((int *)t8) = -1;
    t9 = (0 - 2);
    t10 = (t9 * -1);
    t10 = (t10 + 1);
    t8 = (t7 + 12U);
    *((unsigned int *)t8) = t10;
    t8 = (t0 + 6793);
    t13 = (t12 + 0U);
    t14 = (t13 + 0U);
    *((int *)t14) = 0;
    t14 = (t13 + 4U);
    *((int *)t14) = 2;
    t14 = (t13 + 8U);
    *((int *)t14) = 1;
    t15 = (2 - 0);
    t10 = (t15 * 1);
    t10 = (t10 + 1);
    t14 = (t13 + 12U);
    *((unsigned int *)t14) = t10;
    t16 = ieee_p_0774719531_sub_2698824431_2162500114(IEEE_P_0774719531, t1, t6, t8, t12);
    if (t16 != 0)
        goto LAB18;

LAB19:    t1 = (t0 + 1032U);
    t2 = *((char **)t1);
    t3 = (5 - 2);
    t4 = (t3 * 1U);
    t5 = (0 + t4);
    t1 = (t2 + t5);
    t7 = (t6 + 0U);
    t8 = (t7 + 0U);
    *((int *)t8) = 2;
    t8 = (t7 + 4U);
    *((int *)t8) = 0;
    t8 = (t7 + 8U);
    *((int *)t8) = -1;
    t9 = (0 - 2);
    t10 = (t9 * -1);
    t10 = (t10 + 1);
    t8 = (t7 + 12U);
    *((unsigned int *)t8) = t10;
    t8 = (t0 + 6796);
    t13 = (t12 + 0U);
    t14 = (t13 + 0U);
    *((int *)t14) = 0;
    t14 = (t13 + 4U);
    *((int *)t14) = 2;
    t14 = (t13 + 8U);
    *((int *)t14) = 1;
    t15 = (2 - 0);
    t10 = (t15 * 1);
    t10 = (t10 + 1);
    t14 = (t13 + 12U);
    *((unsigned int *)t14) = t10;
    t16 = ieee_p_0774719531_sub_2698824431_2162500114(IEEE_P_0774719531, t1, t6, t8, t12);
    if (t16 != 0)
        goto LAB22;

LAB23:    t1 = (t0 + 1032U);
    t2 = *((char **)t1);
    t3 = (5 - 2);
    t4 = (t3 * 1U);
    t5 = (0 + t4);
    t1 = (t2 + t5);
    t7 = (t6 + 0U);
    t8 = (t7 + 0U);
    *((int *)t8) = 2;
    t8 = (t7 + 4U);
    *((int *)t8) = 0;
    t8 = (t7 + 8U);
    *((int *)t8) = -1;
    t9 = (0 - 2);
    t10 = (t9 * -1);
    t10 = (t10 + 1);
    t8 = (t7 + 12U);
    *((unsigned int *)t8) = t10;
    t8 = (t0 + 6799);
    t13 = (t12 + 0U);
    t14 = (t13 + 0U);
    *((int *)t14) = 0;
    t14 = (t13 + 4U);
    *((int *)t14) = 2;
    t14 = (t13 + 8U);
    *((int *)t14) = 1;
    t15 = (2 - 0);
    t10 = (t15 * 1);
    t10 = (t10 + 1);
    t14 = (t13 + 12U);
    *((unsigned int *)t14) = t10;
    t16 = ieee_p_0774719531_sub_2698824431_2162500114(IEEE_P_0774719531, t1, t6, t8, t12);
    if (t16 != 0)
        goto LAB26;

LAB27:
LAB6:    goto LAB3;

LAB5:    xsi_set_current_line(40, ng0);
    t14 = (t0 + 1192U);
    t17 = *((char **)t14);
    t14 = (t0 + 6600U);
    t18 = (t0 + 1352U);
    t19 = *((char **)t18);
    t18 = (t0 + 6616U);
    t20 = ieee_p_1242562249_sub_3273497107_1035706684(IEEE_P_1242562249, t21, t17, t14, t19, t18);
    t22 = (t0 + 4144);
    t23 = (t22 + 56U);
    t24 = *((char **)t23);
    t25 = (t24 + 56U);
    t26 = *((char **)t25);
    memcpy(t26, t20, 32U);
    xsi_driver_first_trans_fast(t22);
    goto LAB6;

LAB8:    xsi_set_current_line(42, ng0);
    t14 = (t0 + 1192U);
    t17 = *((char **)t14);
    t14 = (t0 + 6600U);
    t18 = (t0 + 1352U);
    t19 = *((char **)t18);
    t18 = (t0 + 6616U);
    t20 = ieee_p_1242562249_sub_1547198987_1035706684(IEEE_P_1242562249, t21, t17, t14, t19, t18);
    t22 = (t0 + 4144);
    t23 = (t22 + 56U);
    t24 = *((char **)t23);
    t25 = (t24 + 56U);
    t26 = *((char **)t25);
    memcpy(t26, t20, 32U);
    xsi_driver_first_trans_fast(t22);
    goto LAB6;

LAB10:    xsi_set_current_line(44, ng0);
    t14 = (t0 + 1192U);
    t17 = *((char **)t14);
    t14 = (t0 + 6600U);
    t18 = (t0 + 1352U);
    t19 = *((char **)t18);
    t18 = (t0 + 6616U);
    t20 = ieee_p_1242562249_sub_3273568981_1035706684(IEEE_P_1242562249, t21, t17, t14, t19, t18);
    t22 = (t0 + 4144);
    t23 = (t22 + 56U);
    t24 = *((char **)t23);
    t25 = (t24 + 56U);
    t26 = *((char **)t25);
    memcpy(t26, t20, 32U);
    xsi_driver_first_trans_fast(t22);
    goto LAB6;

LAB12:    xsi_set_current_line(46, ng0);
    t14 = (t0 + 1192U);
    t17 = *((char **)t14);
    t14 = (t0 + 6600U);
    t18 = (t0 + 1352U);
    t19 = *((char **)t18);
    t18 = (t0 + 6616U);
    t20 = ieee_p_1242562249_sub_1547270861_1035706684(IEEE_P_1242562249, t21, t17, t14, t19, t18);
    t22 = (t0 + 4144);
    t23 = (t22 + 56U);
    t24 = *((char **)t23);
    t25 = (t24 + 56U);
    t26 = *((char **)t25);
    memcpy(t26, t20, 32U);
    xsi_driver_first_trans_fast(t22);
    goto LAB6;

LAB14:    xsi_set_current_line(48, ng0);
    t14 = (t0 + 1192U);
    t17 = *((char **)t14);
    t14 = (t0 + 6600U);
    t18 = (t0 + 1352U);
    t19 = *((char **)t18);
    t18 = (t0 + 6616U);
    t20 = ieee_p_2592010699_sub_795620321_503743352(IEEE_P_2592010699, t21, t17, t14, t19, t18);
    t22 = (t21 + 12U);
    t10 = *((unsigned int *)t22);
    t27 = (1U * t10);
    t28 = (32U != t27);
    if (t28 == 1)
        goto LAB16;

LAB17:    t23 = (t0 + 4144);
    t24 = (t23 + 56U);
    t25 = *((char **)t24);
    t26 = (t25 + 56U);
    t29 = *((char **)t26);
    memcpy(t29, t20, 32U);
    xsi_driver_first_trans_fast(t23);
    goto LAB6;

LAB16:    xsi_size_not_matching(32U, t27, 0);
    goto LAB17;

LAB18:    xsi_set_current_line(50, ng0);
    t14 = (t0 + 1192U);
    t17 = *((char **)t14);
    t14 = (t0 + 6600U);
    t18 = (t0 + 1352U);
    t19 = *((char **)t18);
    t18 = (t0 + 6616U);
    t20 = ieee_p_2592010699_sub_1735675855_503743352(IEEE_P_2592010699, t21, t17, t14, t19, t18);
    t22 = (t21 + 12U);
    t10 = *((unsigned int *)t22);
    t27 = (1U * t10);
    t28 = (32U != t27);
    if (t28 == 1)
        goto LAB20;

LAB21:    t23 = (t0 + 4144);
    t24 = (t23 + 56U);
    t25 = *((char **)t24);
    t26 = (t25 + 56U);
    t29 = *((char **)t26);
    memcpy(t29, t20, 32U);
    xsi_driver_first_trans_fast(t23);
    goto LAB6;

LAB20:    xsi_size_not_matching(32U, t27, 0);
    goto LAB21;

LAB22:    xsi_set_current_line(52, ng0);
    t14 = (t0 + 1192U);
    t17 = *((char **)t14);
    t14 = (t0 + 6600U);
    t18 = (t0 + 1352U);
    t19 = *((char **)t18);
    t18 = (t0 + 6616U);
    t20 = ieee_p_2592010699_sub_1697423399_503743352(IEEE_P_2592010699, t21, t17, t14, t19, t18);
    t22 = (t21 + 12U);
    t10 = *((unsigned int *)t22);
    t27 = (1U * t10);
    t28 = (32U != t27);
    if (t28 == 1)
        goto LAB24;

LAB25:    t23 = (t0 + 4144);
    t24 = (t23 + 56U);
    t25 = *((char **)t24);
    t26 = (t25 + 56U);
    t29 = *((char **)t26);
    memcpy(t29, t20, 32U);
    xsi_driver_first_trans_fast(t23);
    goto LAB6;

LAB24:    xsi_size_not_matching(32U, t27, 0);
    goto LAB25;

LAB26:    xsi_set_current_line(54, ng0);
    t14 = (t0 + 1192U);
    t17 = *((char **)t14);
    t14 = (t0 + 6600U);
    t18 = (t0 + 1352U);
    t19 = *((char **)t18);
    t18 = (t0 + 6616U);
    t20 = ieee_p_2592010699_sub_1306069469_503743352(IEEE_P_2592010699, t21, t17, t14, t19, t18);
    t22 = (t21 + 12U);
    t10 = *((unsigned int *)t22);
    t27 = (1U * t10);
    t28 = (32U != t27);
    if (t28 == 1)
        goto LAB28;

LAB29:    t23 = (t0 + 4144);
    t24 = (t23 + 56U);
    t25 = *((char **)t24);
    t26 = (t25 + 56U);
    t29 = *((char **)t26);
    memcpy(t29, t20, 32U);
    xsi_driver_first_trans_fast(t23);
    goto LAB6;

LAB28:    xsi_size_not_matching(32U, t27, 0);
    goto LAB29;

LAB30:    xsi_set_current_line(58, ng0);
    t14 = (t0 + 4080);
    t17 = (t14 + 56U);
    t18 = *((char **)t17);
    t19 = (t18 + 56U);
    t20 = *((char **)t19);
    *((unsigned char *)t20) = (unsigned char)2;
    xsi_driver_first_trans_fast(t14);
    xsi_set_current_line(59, ng0);
    t1 = (t0 + 1032U);
    t2 = *((char **)t1);
    t3 = (5 - 2);
    t4 = (t3 * 1U);
    t5 = (0 + t4);
    t1 = (t2 + t5);
    t7 = (t6 + 0U);
    t8 = (t7 + 0U);
    *((int *)t8) = 2;
    t8 = (t7 + 4U);
    *((int *)t8) = 0;
    t8 = (t7 + 8U);
    *((int *)t8) = -1;
    t9 = (0 - 2);
    t10 = (t9 * -1);
    t10 = (t10 + 1);
    t8 = (t7 + 12U);
    *((unsigned int *)t8) = t10;
    t8 = (t0 + 6805);
    t13 = (t12 + 0U);
    t14 = (t13 + 0U);
    *((int *)t14) = 0;
    t14 = (t13 + 4U);
    *((int *)t14) = 2;
    t14 = (t13 + 8U);
    *((int *)t14) = 1;
    t15 = (2 - 0);
    t10 = (t15 * 1);
    t10 = (t10 + 1);
    t14 = (t13 + 12U);
    *((unsigned int *)t14) = t10;
    t16 = ieee_p_0774719531_sub_2698824431_2162500114(IEEE_P_0774719531, t1, t6, t8, t12);
    if (t16 != 0)
        goto LAB32;

LAB34:    t1 = (t0 + 1032U);
    t2 = *((char **)t1);
    t3 = (5 - 2);
    t4 = (t3 * 1U);
    t5 = (0 + t4);
    t1 = (t2 + t5);
    t7 = (t6 + 0U);
    t8 = (t7 + 0U);
    *((int *)t8) = 2;
    t8 = (t7 + 4U);
    *((int *)t8) = 0;
    t8 = (t7 + 8U);
    *((int *)t8) = -1;
    t9 = (0 - 2);
    t10 = (t9 * -1);
    t10 = (t10 + 1);
    t8 = (t7 + 12U);
    *((unsigned int *)t8) = t10;
    t8 = (t0 + 6840);
    t13 = (t12 + 0U);
    t14 = (t13 + 0U);
    *((int *)t14) = 0;
    t14 = (t13 + 4U);
    *((int *)t14) = 2;
    t14 = (t13 + 8U);
    *((int *)t14) = 1;
    t15 = (2 - 0);
    t10 = (t15 * 1);
    t10 = (t10 + 1);
    t14 = (t13 + 12U);
    *((unsigned int *)t14) = t10;
    t16 = ieee_p_0774719531_sub_2698824431_2162500114(IEEE_P_0774719531, t1, t6, t8, t12);
    if (t16 != 0)
        goto LAB38;

LAB39:    t1 = (t0 + 1032U);
    t2 = *((char **)t1);
    t3 = (5 - 2);
    t4 = (t3 * 1U);
    t5 = (0 + t4);
    t1 = (t2 + t5);
    t7 = (t6 + 0U);
    t8 = (t7 + 0U);
    *((int *)t8) = 2;
    t8 = (t7 + 4U);
    *((int *)t8) = 0;
    t8 = (t7 + 8U);
    *((int *)t8) = -1;
    t9 = (0 - 2);
    t10 = (t9 * -1);
    t10 = (t10 + 1);
    t8 = (t7 + 12U);
    *((unsigned int *)t8) = t10;
    t8 = (t0 + 6875);
    t13 = (t12 + 0U);
    t14 = (t13 + 0U);
    *((int *)t14) = 0;
    t14 = (t13 + 4U);
    *((int *)t14) = 2;
    t14 = (t13 + 8U);
    *((int *)t14) = 1;
    t15 = (2 - 0);
    t10 = (t15 * 1);
    t10 = (t10 + 1);
    t14 = (t13 + 12U);
    *((unsigned int *)t14) = t10;
    t16 = ieee_p_0774719531_sub_2698824431_2162500114(IEEE_P_0774719531, t1, t6, t8, t12);
    if (t16 != 0)
        goto LAB43;

LAB44:
LAB33:    goto LAB3;

LAB32:    xsi_set_current_line(60, ng0);
    t14 = (t0 + 1192U);
    t17 = *((char **)t14);
    t14 = (t0 + 6600U);
    t18 = (t0 + 1352U);
    t19 = *((char **)t18);
    t18 = (t0 + 6616U);
    t28 = ieee_p_1242562249_sub_2720006528_1035706684(IEEE_P_1242562249, t17, t14, t19, t18);
    if (t28 != 0)
        goto LAB35;

LAB37:    xsi_set_current_line(63, ng0);
    t1 = xsi_get_transient_memory(32U);
    memset(t1, 0, 32U);
    t2 = t1;
    memset(t2, (unsigned char)2, 32U);
    t7 = (t0 + 4144);
    t8 = (t7 + 56U);
    t11 = *((char **)t8);
    t13 = (t11 + 56U);
    t14 = *((char **)t13);
    memcpy(t14, t1, 32U);
    xsi_driver_first_trans_fast(t7);

LAB36:    goto LAB33;

LAB35:    xsi_set_current_line(61, ng0);
    t20 = (t0 + 6808);
    t23 = (t0 + 4144);
    t24 = (t23 + 56U);
    t25 = *((char **)t24);
    t26 = (t25 + 56U);
    t29 = *((char **)t26);
    memcpy(t29, t20, 32U);
    xsi_driver_first_trans_fast(t23);
    goto LAB36;

LAB38:    xsi_set_current_line(66, ng0);
    t14 = (t0 + 1192U);
    t17 = *((char **)t14);
    t14 = (t0 + 6600U);
    t18 = (t0 + 1352U);
    t19 = *((char **)t18);
    t18 = (t0 + 6616U);
    t28 = ieee_p_1242562249_sub_2720006528_1035706684(IEEE_P_1242562249, t17, t14, t19, t18);
    if (t28 != 0)
        goto LAB40;

LAB42:    xsi_set_current_line(69, ng0);
    t1 = xsi_get_transient_memory(32U);
    memset(t1, 0, 32U);
    t2 = t1;
    memset(t2, (unsigned char)2, 32U);
    t7 = (t0 + 4144);
    t8 = (t7 + 56U);
    t11 = *((char **)t8);
    t13 = (t11 + 56U);
    t14 = *((char **)t13);
    memcpy(t14, t1, 32U);
    xsi_driver_first_trans_fast(t7);

LAB41:    goto LAB33;

LAB40:    xsi_set_current_line(67, ng0);
    t20 = (t0 + 6843);
    t23 = (t0 + 4144);
    t24 = (t23 + 56U);
    t25 = *((char **)t24);
    t26 = (t25 + 56U);
    t29 = *((char **)t26);
    memcpy(t29, t20, 32U);
    xsi_driver_first_trans_fast(t23);
    goto LAB41;

LAB43:    xsi_set_current_line(72, ng0);
    t14 = (t0 + 1192U);
    t17 = *((char **)t14);
    t14 = (t0 + 6600U);
    t18 = (t0 + 1352U);
    t19 = *((char **)t18);
    t18 = (t0 + 6616U);
    t28 = ieee_p_1242562249_sub_2720006528_1035706684(IEEE_P_1242562249, t17, t14, t19, t18);
    if (t28 != 0)
        goto LAB45;

LAB47:    xsi_set_current_line(75, ng0);
    t1 = xsi_get_transient_memory(32U);
    memset(t1, 0, 32U);
    t2 = t1;
    memset(t2, (unsigned char)2, 32U);
    t7 = (t0 + 4144);
    t8 = (t7 + 56U);
    t11 = *((char **)t8);
    t13 = (t11 + 56U);
    t14 = *((char **)t13);
    memcpy(t14, t1, 32U);
    xsi_driver_first_trans_fast(t7);

LAB46:    goto LAB33;

LAB45:    xsi_set_current_line(73, ng0);
    t20 = (t0 + 6878);
    t23 = (t0 + 4144);
    t24 = (t23 + 56U);
    t25 = *((char **)t24);
    t26 = (t25 + 56U);
    t29 = *((char **)t26);
    memcpy(t29, t20, 32U);
    xsi_driver_first_trans_fast(t23);
    goto LAB46;

LAB48:    xsi_set_current_line(80, ng0);
    t14 = (t0 + 1032U);
    t17 = *((char **)t14);
    t10 = (5 - 2);
    t27 = (t10 * 1U);
    t30 = (0 + t27);
    t14 = (t17 + t30);
    t18 = (t21 + 0U);
    t19 = (t18 + 0U);
    *((int *)t19) = 2;
    t19 = (t18 + 4U);
    *((int *)t19) = 0;
    t19 = (t18 + 8U);
    *((int *)t19) = -1;
    t31 = (0 - 2);
    t32 = (t31 * -1);
    t32 = (t32 + 1);
    t19 = (t18 + 12U);
    *((unsigned int *)t19) = t32;
    t19 = (t0 + 6913);
    t22 = (t33 + 0U);
    t23 = (t22 + 0U);
    *((int *)t23) = 0;
    t23 = (t22 + 4U);
    *((int *)t23) = 2;
    t23 = (t22 + 8U);
    *((int *)t23) = 1;
    t34 = (2 - 0);
    t32 = (t34 * 1);
    t32 = (t32 + 1);
    t23 = (t22 + 12U);
    *((unsigned int *)t23) = t32;
    t28 = ieee_p_0774719531_sub_2698824431_2162500114(IEEE_P_0774719531, t14, t21, t19, t33);
    if (t28 != 0)
        goto LAB50;

LAB52:    t1 = (t0 + 1032U);
    t2 = *((char **)t1);
    t3 = (5 - 2);
    t4 = (t3 * 1U);
    t5 = (0 + t4);
    t1 = (t2 + t5);
    t7 = (t6 + 0U);
    t8 = (t7 + 0U);
    *((int *)t8) = 2;
    t8 = (t7 + 4U);
    *((int *)t8) = 0;
    t8 = (t7 + 8U);
    *((int *)t8) = -1;
    t9 = (0 - 2);
    t10 = (t9 * -1);
    t10 = (t10 + 1);
    t8 = (t7 + 12U);
    *((unsigned int *)t8) = t10;
    t8 = (t0 + 6916);
    t13 = (t12 + 0U);
    t14 = (t13 + 0U);
    *((int *)t14) = 0;
    t14 = (t13 + 4U);
    *((int *)t14) = 2;
    t14 = (t13 + 8U);
    *((int *)t14) = 1;
    t15 = (2 - 0);
    t10 = (t15 * 1);
    t10 = (t10 + 1);
    t14 = (t13 + 12U);
    *((unsigned int *)t14) = t10;
    t16 = ieee_p_0774719531_sub_2698824431_2162500114(IEEE_P_0774719531, t1, t6, t8, t12);
    if (t16 != 0)
        goto LAB56;

LAB57:    t1 = (t0 + 1032U);
    t2 = *((char **)t1);
    t3 = (5 - 2);
    t4 = (t3 * 1U);
    t5 = (0 + t4);
    t1 = (t2 + t5);
    t7 = (t6 + 0U);
    t8 = (t7 + 0U);
    *((int *)t8) = 2;
    t8 = (t7 + 4U);
    *((int *)t8) = 0;
    t8 = (t7 + 8U);
    *((int *)t8) = -1;
    t9 = (0 - 2);
    t10 = (t9 * -1);
    t10 = (t10 + 1);
    t8 = (t7 + 12U);
    *((unsigned int *)t8) = t10;
    t8 = (t0 + 6919);
    t13 = (t12 + 0U);
    t14 = (t13 + 0U);
    *((int *)t14) = 0;
    t14 = (t13 + 4U);
    *((int *)t14) = 2;
    t14 = (t13 + 8U);
    *((int *)t14) = 1;
    t15 = (2 - 0);
    t10 = (t15 * 1);
    t10 = (t10 + 1);
    t14 = (t13 + 12U);
    *((unsigned int *)t14) = t10;
    t16 = ieee_p_0774719531_sub_2698824431_2162500114(IEEE_P_0774719531, t1, t6, t8, t12);
    if (t16 != 0)
        goto LAB61;

LAB62:    t1 = (t0 + 1032U);
    t2 = *((char **)t1);
    t3 = (5 - 2);
    t4 = (t3 * 1U);
    t5 = (0 + t4);
    t1 = (t2 + t5);
    t7 = (t6 + 0U);
    t8 = (t7 + 0U);
    *((int *)t8) = 2;
    t8 = (t7 + 4U);
    *((int *)t8) = 0;
    t8 = (t7 + 8U);
    *((int *)t8) = -1;
    t9 = (0 - 2);
    t10 = (t9 * -1);
    t10 = (t10 + 1);
    t8 = (t7 + 12U);
    *((unsigned int *)t8) = t10;
    t8 = (t0 + 6922);
    t13 = (t12 + 0U);
    t14 = (t13 + 0U);
    *((int *)t14) = 0;
    t14 = (t13 + 4U);
    *((int *)t14) = 2;
    t14 = (t13 + 8U);
    *((int *)t14) = 1;
    t15 = (2 - 0);
    t10 = (t15 * 1);
    t10 = (t10 + 1);
    t14 = (t13 + 12U);
    *((unsigned int *)t14) = t10;
    t16 = ieee_p_0774719531_sub_2698824431_2162500114(IEEE_P_0774719531, t1, t6, t8, t12);
    if (t16 != 0)
        goto LAB66;

LAB67:    t1 = (t0 + 1032U);
    t2 = *((char **)t1);
    t3 = (5 - 2);
    t4 = (t3 * 1U);
    t5 = (0 + t4);
    t1 = (t2 + t5);
    t7 = (t6 + 0U);
    t8 = (t7 + 0U);
    *((int *)t8) = 2;
    t8 = (t7 + 4U);
    *((int *)t8) = 0;
    t8 = (t7 + 8U);
    *((int *)t8) = -1;
    t9 = (0 - 2);
    t10 = (t9 * -1);
    t10 = (t10 + 1);
    t8 = (t7 + 12U);
    *((unsigned int *)t8) = t10;
    t8 = (t0 + 6925);
    t13 = (t12 + 0U);
    t14 = (t13 + 0U);
    *((int *)t14) = 0;
    t14 = (t13 + 4U);
    *((int *)t14) = 2;
    t14 = (t13 + 8U);
    *((int *)t14) = 1;
    t15 = (2 - 0);
    t10 = (t15 * 1);
    t10 = (t10 + 1);
    t14 = (t13 + 12U);
    *((unsigned int *)t14) = t10;
    t16 = ieee_p_0774719531_sub_2698824431_2162500114(IEEE_P_0774719531, t1, t6, t8, t12);
    if (t16 != 0)
        goto LAB71;

LAB72:    t1 = (t0 + 1032U);
    t2 = *((char **)t1);
    t3 = (5 - 2);
    t4 = (t3 * 1U);
    t5 = (0 + t4);
    t1 = (t2 + t5);
    t7 = (t6 + 0U);
    t8 = (t7 + 0U);
    *((int *)t8) = 2;
    t8 = (t7 + 4U);
    *((int *)t8) = 0;
    t8 = (t7 + 8U);
    *((int *)t8) = -1;
    t9 = (0 - 2);
    t10 = (t9 * -1);
    t10 = (t10 + 1);
    t8 = (t7 + 12U);
    *((unsigned int *)t8) = t10;
    t8 = (t0 + 6928);
    t13 = (t12 + 0U);
    t14 = (t13 + 0U);
    *((int *)t14) = 0;
    t14 = (t13 + 4U);
    *((int *)t14) = 2;
    t14 = (t13 + 8U);
    *((int *)t14) = 1;
    t15 = (2 - 0);
    t10 = (t15 * 1);
    t10 = (t10 + 1);
    t14 = (t13 + 12U);
    *((unsigned int *)t14) = t10;
    t16 = ieee_p_0774719531_sub_2698824431_2162500114(IEEE_P_0774719531, t1, t6, t8, t12);
    if (t16 != 0)
        goto LAB76;

LAB77:
LAB51:    goto LAB3;

LAB50:    xsi_set_current_line(81, ng0);
    t23 = (t0 + 1192U);
    t24 = *((char **)t23);
    t23 = (t0 + 4144);
    t25 = (t23 + 56U);
    t26 = *((char **)t25);
    t29 = (t26 + 56U);
    t35 = *((char **)t29);
    memcpy(t35, t24, 32U);
    xsi_driver_first_trans_fast(t23);
    xsi_set_current_line(82, ng0);
    t1 = (t0 + 1192U);
    t2 = *((char **)t1);
    t1 = (t0 + 6600U);
    t9 = ieee_p_1242562249_sub_2271993008_1035706684(IEEE_P_1242562249, t2, t1);
    t16 = (t9 < 0);
    if (t16 != 0)
        goto LAB53;

LAB55:    xsi_set_current_line(85, ng0);
    t1 = (t0 + 4080);
    t2 = (t1 + 56U);
    t7 = *((char **)t2);
    t8 = (t7 + 56U);
    t11 = *((char **)t8);
    *((unsigned char *)t11) = (unsigned char)2;
    xsi_driver_first_trans_fast(t1);

LAB54:    goto LAB51;

LAB53:    xsi_set_current_line(83, ng0);
    t7 = (t0 + 4080);
    t8 = (t7 + 56U);
    t11 = *((char **)t8);
    t13 = (t11 + 56U);
    t14 = *((char **)t13);
    *((unsigned char *)t14) = (unsigned char)3;
    xsi_driver_first_trans_fast(t7);
    goto LAB54;

LAB56:    xsi_set_current_line(88, ng0);
    t14 = (t0 + 1192U);
    t17 = *((char **)t14);
    t14 = (t0 + 4144);
    t18 = (t14 + 56U);
    t19 = *((char **)t18);
    t20 = (t19 + 56U);
    t22 = *((char **)t20);
    memcpy(t22, t17, 32U);
    xsi_driver_first_trans_fast(t14);
    xsi_set_current_line(89, ng0);
    t1 = (t0 + 1192U);
    t2 = *((char **)t1);
    t1 = (t0 + 6600U);
    t9 = ieee_p_1242562249_sub_2271993008_1035706684(IEEE_P_1242562249, t2, t1);
    t16 = (t9 >= 0);
    if (t16 != 0)
        goto LAB58;

LAB60:    xsi_set_current_line(92, ng0);
    t1 = (t0 + 4080);
    t2 = (t1 + 56U);
    t7 = *((char **)t2);
    t8 = (t7 + 56U);
    t11 = *((char **)t8);
    *((unsigned char *)t11) = (unsigned char)2;
    xsi_driver_first_trans_fast(t1);

LAB59:    goto LAB51;

LAB58:    xsi_set_current_line(90, ng0);
    t7 = (t0 + 4080);
    t8 = (t7 + 56U);
    t11 = *((char **)t8);
    t13 = (t11 + 56U);
    t14 = *((char **)t13);
    *((unsigned char *)t14) = (unsigned char)3;
    xsi_driver_first_trans_fast(t7);
    goto LAB59;

LAB61:    xsi_set_current_line(95, ng0);
    t14 = (t0 + 1192U);
    t17 = *((char **)t14);
    t14 = (t0 + 4144);
    t18 = (t14 + 56U);
    t19 = *((char **)t18);
    t20 = (t19 + 56U);
    t22 = *((char **)t20);
    memcpy(t22, t17, 32U);
    xsi_driver_first_trans_fast(t14);
    xsi_set_current_line(96, ng0);
    t1 = (t0 + 1192U);
    t2 = *((char **)t1);
    t1 = (t0 + 6600U);
    t9 = ieee_p_1242562249_sub_2271993008_1035706684(IEEE_P_1242562249, t2, t1);
    t7 = (t0 + 1352U);
    t8 = *((char **)t7);
    t7 = (t0 + 6616U);
    t15 = ieee_p_1242562249_sub_2271993008_1035706684(IEEE_P_1242562249, t8, t7);
    t16 = (t9 == t15);
    if (t16 != 0)
        goto LAB63;

LAB65:    xsi_set_current_line(99, ng0);
    t1 = (t0 + 4080);
    t2 = (t1 + 56U);
    t7 = *((char **)t2);
    t8 = (t7 + 56U);
    t11 = *((char **)t8);
    *((unsigned char *)t11) = (unsigned char)2;
    xsi_driver_first_trans_fast(t1);

LAB64:    goto LAB51;

LAB63:    xsi_set_current_line(97, ng0);
    t11 = (t0 + 4080);
    t13 = (t11 + 56U);
    t14 = *((char **)t13);
    t17 = (t14 + 56U);
    t18 = *((char **)t17);
    *((unsigned char *)t18) = (unsigned char)3;
    xsi_driver_first_trans_fast(t11);
    goto LAB64;

LAB66:    xsi_set_current_line(102, ng0);
    t14 = (t0 + 1192U);
    t17 = *((char **)t14);
    t14 = (t0 + 4144);
    t18 = (t14 + 56U);
    t19 = *((char **)t18);
    t20 = (t19 + 56U);
    t22 = *((char **)t20);
    memcpy(t22, t17, 32U);
    xsi_driver_first_trans_fast(t14);
    xsi_set_current_line(103, ng0);
    t1 = (t0 + 1192U);
    t2 = *((char **)t1);
    t1 = (t0 + 6600U);
    t9 = ieee_p_1242562249_sub_2271993008_1035706684(IEEE_P_1242562249, t2, t1);
    t7 = (t0 + 1352U);
    t8 = *((char **)t7);
    t7 = (t0 + 6616U);
    t15 = ieee_p_1242562249_sub_2271993008_1035706684(IEEE_P_1242562249, t8, t7);
    t16 = (t9 != t15);
    if (t16 != 0)
        goto LAB68;

LAB70:    xsi_set_current_line(106, ng0);
    t1 = (t0 + 4080);
    t2 = (t1 + 56U);
    t7 = *((char **)t2);
    t8 = (t7 + 56U);
    t11 = *((char **)t8);
    *((unsigned char *)t11) = (unsigned char)2;
    xsi_driver_first_trans_fast(t1);

LAB69:    goto LAB51;

LAB68:    xsi_set_current_line(104, ng0);
    t11 = (t0 + 4080);
    t13 = (t11 + 56U);
    t14 = *((char **)t13);
    t17 = (t14 + 56U);
    t18 = *((char **)t17);
    *((unsigned char *)t18) = (unsigned char)3;
    xsi_driver_first_trans_fast(t11);
    goto LAB69;

LAB71:    xsi_set_current_line(109, ng0);
    t14 = (t0 + 1192U);
    t17 = *((char **)t14);
    t14 = (t0 + 4144);
    t18 = (t14 + 56U);
    t19 = *((char **)t18);
    t20 = (t19 + 56U);
    t22 = *((char **)t20);
    memcpy(t22, t17, 32U);
    xsi_driver_first_trans_fast(t14);
    xsi_set_current_line(110, ng0);
    t1 = (t0 + 1192U);
    t2 = *((char **)t1);
    t1 = (t0 + 6600U);
    t9 = ieee_p_1242562249_sub_2271993008_1035706684(IEEE_P_1242562249, t2, t1);
    t16 = (t9 <= 0);
    if (t16 != 0)
        goto LAB73;

LAB75:    xsi_set_current_line(113, ng0);
    t1 = (t0 + 4080);
    t2 = (t1 + 56U);
    t7 = *((char **)t2);
    t8 = (t7 + 56U);
    t11 = *((char **)t8);
    *((unsigned char *)t11) = (unsigned char)2;
    xsi_driver_first_trans_fast(t1);

LAB74:    goto LAB51;

LAB73:    xsi_set_current_line(111, ng0);
    t7 = (t0 + 4080);
    t8 = (t7 + 56U);
    t11 = *((char **)t8);
    t13 = (t11 + 56U);
    t14 = *((char **)t13);
    *((unsigned char *)t14) = (unsigned char)3;
    xsi_driver_first_trans_fast(t7);
    goto LAB74;

LAB76:    xsi_set_current_line(116, ng0);
    t14 = (t0 + 1192U);
    t17 = *((char **)t14);
    t14 = (t0 + 4144);
    t18 = (t14 + 56U);
    t19 = *((char **)t18);
    t20 = (t19 + 56U);
    t22 = *((char **)t20);
    memcpy(t22, t17, 32U);
    xsi_driver_first_trans_fast(t14);
    xsi_set_current_line(117, ng0);
    t1 = (t0 + 1192U);
    t2 = *((char **)t1);
    t1 = (t0 + 6600U);
    t9 = ieee_p_1242562249_sub_2271993008_1035706684(IEEE_P_1242562249, t2, t1);
    t16 = (t9 > 0);
    if (t16 != 0)
        goto LAB78;

LAB80:    xsi_set_current_line(120, ng0);
    t1 = (t0 + 4080);
    t2 = (t1 + 56U);
    t7 = *((char **)t2);
    t8 = (t7 + 56U);
    t11 = *((char **)t8);
    *((unsigned char *)t11) = (unsigned char)2;
    xsi_driver_first_trans_fast(t1);

LAB79:    goto LAB51;

LAB78:    xsi_set_current_line(118, ng0);
    t7 = (t0 + 4080);
    t8 = (t7 + 56U);
    t11 = *((char **)t8);
    t13 = (t11 + 56U);
    t14 = *((char **)t13);
    *((unsigned char *)t14) = (unsigned char)3;
    xsi_driver_first_trans_fast(t7);
    goto LAB79;

LAB81:    xsi_set_current_line(127, ng0);
    t14 = (t0 + 1032U);
    t17 = *((char **)t14);
    t10 = (5 - 2);
    t27 = (t10 * 1U);
    t30 = (0 + t27);
    t14 = (t17 + t30);
    t18 = (t21 + 0U);
    t19 = (t18 + 0U);
    *((int *)t19) = 2;
    t19 = (t18 + 4U);
    *((int *)t19) = 0;
    t19 = (t18 + 8U);
    *((int *)t19) = -1;
    t31 = (0 - 2);
    t32 = (t31 * -1);
    t32 = (t32 + 1);
    t19 = (t18 + 12U);
    *((unsigned int *)t19) = t32;
    t19 = (t0 + 6934);
    t22 = (t33 + 0U);
    t23 = (t22 + 0U);
    *((int *)t23) = 0;
    t23 = (t22 + 4U);
    *((int *)t23) = 2;
    t23 = (t22 + 8U);
    *((int *)t23) = 1;
    t34 = (2 - 0);
    t32 = (t34 * 1);
    t32 = (t32 + 1);
    t23 = (t22 + 12U);
    *((unsigned int *)t23) = t32;
    t28 = ieee_p_0774719531_sub_2698824431_2162500114(IEEE_P_0774719531, t14, t21, t19, t33);
    if (t28 != 0)
        goto LAB83;

LAB85:    t1 = (t0 + 1032U);
    t2 = *((char **)t1);
    t3 = (5 - 2);
    t4 = (t3 * 1U);
    t5 = (0 + t4);
    t1 = (t2 + t5);
    t7 = (t6 + 0U);
    t8 = (t7 + 0U);
    *((int *)t8) = 2;
    t8 = (t7 + 4U);
    *((int *)t8) = 0;
    t8 = (t7 + 8U);
    *((int *)t8) = -1;
    t9 = (0 - 2);
    t10 = (t9 * -1);
    t10 = (t10 + 1);
    t8 = (t7 + 12U);
    *((unsigned int *)t8) = t10;
    t8 = (t0 + 6937);
    t13 = (t12 + 0U);
    t14 = (t13 + 0U);
    *((int *)t14) = 0;
    t14 = (t13 + 4U);
    *((int *)t14) = 2;
    t14 = (t13 + 8U);
    *((int *)t14) = 1;
    t15 = (2 - 0);
    t10 = (t15 * 1);
    t10 = (t10 + 1);
    t14 = (t13 + 12U);
    *((unsigned int *)t14) = t10;
    t16 = ieee_p_0774719531_sub_2698824431_2162500114(IEEE_P_0774719531, t1, t6, t8, t12);
    if (t16 != 0)
        goto LAB86;

LAB87:    t1 = (t0 + 1032U);
    t2 = *((char **)t1);
    t3 = (5 - 2);
    t4 = (t3 * 1U);
    t5 = (0 + t4);
    t1 = (t2 + t5);
    t7 = (t6 + 0U);
    t8 = (t7 + 0U);
    *((int *)t8) = 2;
    t8 = (t7 + 4U);
    *((int *)t8) = 0;
    t8 = (t7 + 8U);
    *((int *)t8) = -1;
    t9 = (0 - 2);
    t10 = (t9 * -1);
    t10 = (t10 + 1);
    t8 = (t7 + 12U);
    *((unsigned int *)t8) = t10;
    t8 = (t0 + 6940);
    t13 = (t12 + 0U);
    t14 = (t13 + 0U);
    *((int *)t14) = 0;
    t14 = (t13 + 4U);
    *((int *)t14) = 2;
    t14 = (t13 + 8U);
    *((int *)t14) = 1;
    t15 = (2 - 0);
    t10 = (t15 * 1);
    t10 = (t10 + 1);
    t14 = (t13 + 12U);
    *((unsigned int *)t14) = t10;
    t16 = ieee_p_0774719531_sub_2698824431_2162500114(IEEE_P_0774719531, t1, t6, t8, t12);
    if (t16 != 0)
        goto LAB88;

LAB89:    t1 = (t0 + 1032U);
    t2 = *((char **)t1);
    t3 = (5 - 2);
    t4 = (t3 * 1U);
    t5 = (0 + t4);
    t1 = (t2 + t5);
    t7 = (t6 + 0U);
    t8 = (t7 + 0U);
    *((int *)t8) = 2;
    t8 = (t7 + 4U);
    *((int *)t8) = 0;
    t8 = (t7 + 8U);
    *((int *)t8) = -1;
    t9 = (0 - 2);
    t10 = (t9 * -1);
    t10 = (t10 + 1);
    t8 = (t7 + 12U);
    *((unsigned int *)t8) = t10;
    t8 = (t0 + 6943);
    t13 = (t12 + 0U);
    t14 = (t13 + 0U);
    *((int *)t14) = 0;
    t14 = (t13 + 4U);
    *((int *)t14) = 2;
    t14 = (t13 + 8U);
    *((int *)t14) = 1;
    t15 = (2 - 0);
    t10 = (t15 * 1);
    t10 = (t10 + 1);
    t14 = (t13 + 12U);
    *((unsigned int *)t14) = t10;
    t16 = ieee_p_0774719531_sub_2698824431_2162500114(IEEE_P_0774719531, t1, t6, t8, t12);
    if (t16 != 0)
        goto LAB90;

LAB91:    t1 = (t0 + 1032U);
    t2 = *((char **)t1);
    t3 = (5 - 2);
    t4 = (t3 * 1U);
    t5 = (0 + t4);
    t1 = (t2 + t5);
    t7 = (t6 + 0U);
    t8 = (t7 + 0U);
    *((int *)t8) = 2;
    t8 = (t7 + 4U);
    *((int *)t8) = 0;
    t8 = (t7 + 8U);
    *((int *)t8) = -1;
    t9 = (0 - 2);
    t10 = (t9 * -1);
    t10 = (t10 + 1);
    t8 = (t7 + 12U);
    *((unsigned int *)t8) = t10;
    t8 = (t0 + 6946);
    t13 = (t12 + 0U);
    t14 = (t13 + 0U);
    *((int *)t14) = 0;
    t14 = (t13 + 4U);
    *((int *)t14) = 2;
    t14 = (t13 + 8U);
    *((int *)t14) = 1;
    t15 = (2 - 0);
    t10 = (t15 * 1);
    t10 = (t10 + 1);
    t14 = (t13 + 12U);
    *((unsigned int *)t14) = t10;
    t16 = ieee_p_0774719531_sub_2698824431_2162500114(IEEE_P_0774719531, t1, t6, t8, t12);
    if (t16 != 0)
        goto LAB92;

LAB93:    t1 = (t0 + 1032U);
    t2 = *((char **)t1);
    t3 = (5 - 2);
    t4 = (t3 * 1U);
    t5 = (0 + t4);
    t1 = (t2 + t5);
    t7 = (t6 + 0U);
    t8 = (t7 + 0U);
    *((int *)t8) = 2;
    t8 = (t7 + 4U);
    *((int *)t8) = 0;
    t8 = (t7 + 8U);
    *((int *)t8) = -1;
    t9 = (0 - 2);
    t10 = (t9 * -1);
    t10 = (t10 + 1);
    t8 = (t7 + 12U);
    *((unsigned int *)t8) = t10;
    t8 = (t0 + 6949);
    t13 = (t12 + 0U);
    t14 = (t13 + 0U);
    *((int *)t14) = 0;
    t14 = (t13 + 4U);
    *((int *)t14) = 2;
    t14 = (t13 + 8U);
    *((int *)t14) = 1;
    t15 = (2 - 0);
    t10 = (t15 * 1);
    t10 = (t10 + 1);
    t14 = (t13 + 12U);
    *((unsigned int *)t14) = t10;
    t16 = ieee_p_0774719531_sub_2698824431_2162500114(IEEE_P_0774719531, t1, t6, t8, t12);
    if (t16 != 0)
        goto LAB96;

LAB97:
LAB84:    goto LAB3;

LAB83:    xsi_set_current_line(128, ng0);
    t23 = (t0 + 1192U);
    t24 = *((char **)t23);
    t23 = (t0 + 6600U);
    t25 = (t0 + 1352U);
    t26 = *((char **)t25);
    t25 = (t0 + 6616U);
    t37 = ieee_p_1242562249_sub_2271993008_1035706684(IEEE_P_1242562249, t26, t25);
    t29 = ieee_p_1242562249_sub_4230814580_1035706684(IEEE_P_1242562249, t36, t24, t23, t37);
    t35 = (t0 + 4144);
    t38 = (t35 + 56U);
    t39 = *((char **)t38);
    t40 = (t39 + 56U);
    t41 = *((char **)t40);
    memcpy(t41, t29, 32U);
    xsi_driver_first_trans_fast(t35);
    xsi_set_current_line(129, ng0);
    t1 = (t0 + 4080);
    t2 = (t1 + 56U);
    t7 = *((char **)t2);
    t8 = (t7 + 56U);
    t11 = *((char **)t8);
    *((unsigned char *)t11) = (unsigned char)2;
    xsi_driver_first_trans_fast(t1);
    goto LAB84;

LAB86:    xsi_set_current_line(131, ng0);
    t14 = (t0 + 1192U);
    t17 = *((char **)t14);
    t14 = (t0 + 6600U);
    t18 = (t0 + 1352U);
    t19 = *((char **)t18);
    t18 = (t0 + 6616U);
    t31 = ieee_p_1242562249_sub_2271993008_1035706684(IEEE_P_1242562249, t19, t18);
    t20 = ieee_p_1242562249_sub_4230814580_1035706684(IEEE_P_1242562249, t21, t17, t14, t31);
    t22 = (t0 + 4144);
    t23 = (t22 + 56U);
    t24 = *((char **)t23);
    t25 = (t24 + 56U);
    t26 = *((char **)t25);
    memcpy(t26, t20, 32U);
    xsi_driver_first_trans_fast(t22);
    xsi_set_current_line(132, ng0);
    t1 = (t0 + 4080);
    t2 = (t1 + 56U);
    t7 = *((char **)t2);
    t8 = (t7 + 56U);
    t11 = *((char **)t8);
    *((unsigned char *)t11) = (unsigned char)2;
    xsi_driver_first_trans_fast(t1);
    goto LAB84;

LAB88:    xsi_set_current_line(134, ng0);
    t14 = (t0 + 1192U);
    t17 = *((char **)t14);
    t14 = (t0 + 6600U);
    t18 = (t0 + 1352U);
    t19 = *((char **)t18);
    t18 = (t0 + 6616U);
    t31 = ieee_p_1242562249_sub_2271993008_1035706684(IEEE_P_1242562249, t19, t18);
    t20 = ieee_p_1242562249_sub_4237930106_1035706684(IEEE_P_1242562249, t21, t17, t14, t31);
    t22 = (t0 + 4144);
    t23 = (t22 + 56U);
    t24 = *((char **)t23);
    t25 = (t24 + 56U);
    t26 = *((char **)t25);
    memcpy(t26, t20, 32U);
    xsi_driver_first_trans_fast(t22);
    xsi_set_current_line(135, ng0);
    t1 = (t0 + 4080);
    t2 = (t1 + 56U);
    t7 = *((char **)t2);
    t8 = (t7 + 56U);
    t11 = *((char **)t8);
    *((unsigned char *)t11) = (unsigned char)2;
    xsi_driver_first_trans_fast(t1);
    goto LAB84;

LAB90:    xsi_set_current_line(137, ng0);
    t14 = (t0 + 1192U);
    t17 = *((char **)t14);
    t14 = (t0 + 6600U);
    t18 = (t0 + 1352U);
    t19 = *((char **)t18);
    t18 = (t0 + 6616U);
    t31 = ieee_p_1242562249_sub_2271993008_1035706684(IEEE_P_1242562249, t19, t18);
    t20 = ieee_p_1242562249_sub_4237930106_1035706684(IEEE_P_1242562249, t21, t17, t14, t31);
    t22 = (t0 + 4144);
    t23 = (t22 + 56U);
    t24 = *((char **)t23);
    t25 = (t24 + 56U);
    t26 = *((char **)t25);
    memcpy(t26, t20, 32U);
    xsi_driver_first_trans_fast(t22);
    xsi_set_current_line(138, ng0);
    t1 = (t0 + 4080);
    t2 = (t1 + 56U);
    t7 = *((char **)t2);
    t8 = (t7 + 56U);
    t11 = *((char **)t8);
    *((unsigned char *)t11) = (unsigned char)2;
    xsi_driver_first_trans_fast(t1);
    goto LAB84;

LAB92:    xsi_set_current_line(140, ng0);
    t14 = (t0 + 1192U);
    t17 = *((char **)t14);
    t14 = (t0 + 6600U);
    t18 = (t0 + 1352U);
    t19 = *((char **)t18);
    t18 = (t0 + 6616U);
    t20 = ieee_p_0774719531_sub_121746372_2162500114(IEEE_P_0774719531, t21, t17, t14, t19, t18);
    t22 = (t21 + 12U);
    t10 = *((unsigned int *)t22);
    t10 = (t10 * 1U);
    t28 = (32U != t10);
    if (t28 == 1)
        goto LAB94;

LAB95:    t23 = (t0 + 4144);
    t24 = (t23 + 56U);
    t25 = *((char **)t24);
    t26 = (t25 + 56U);
    t29 = *((char **)t26);
    memcpy(t29, t20, 32U);
    xsi_driver_first_trans_fast(t23);
    xsi_set_current_line(141, ng0);
    t1 = (t0 + 4080);
    t2 = (t1 + 56U);
    t7 = *((char **)t2);
    t8 = (t7 + 56U);
    t11 = *((char **)t8);
    *((unsigned char *)t11) = (unsigned char)2;
    xsi_driver_first_trans_fast(t1);
    goto LAB84;

LAB94:    xsi_size_not_matching(32U, t10, 0);
    goto LAB95;

LAB96:    xsi_set_current_line(143, ng0);
    t14 = (t0 + 1192U);
    t17 = *((char **)t14);
    t14 = (t0 + 6600U);
    t18 = (t0 + 1352U);
    t19 = *((char **)t18);
    t18 = (t0 + 6616U);
    t20 = ieee_p_0774719531_sub_121746372_2162500114(IEEE_P_0774719531, t21, t17, t14, t19, t18);
    t22 = (t21 + 12U);
    t10 = *((unsigned int *)t22);
    t10 = (t10 * 1U);
    t28 = (32U != t10);
    if (t28 == 1)
        goto LAB98;

LAB99:    t23 = (t0 + 4144);
    t24 = (t23 + 56U);
    t25 = *((char **)t24);
    t26 = (t25 + 56U);
    t29 = *((char **)t26);
    memcpy(t29, t20, 32U);
    xsi_driver_first_trans_fast(t23);
    xsi_set_current_line(144, ng0);
    t1 = (t0 + 4080);
    t2 = (t1 + 56U);
    t7 = *((char **)t2);
    t8 = (t7 + 56U);
    t11 = *((char **)t8);
    *((unsigned char *)t11) = (unsigned char)2;
    xsi_driver_first_trans_fast(t1);
    goto LAB84;

LAB98:    xsi_size_not_matching(32U, t10, 0);
    goto LAB99;

}
コード例 #6
0
static void work_a_1734144704_3212880686_p_8(char *t0)
{
    char t48[16];
    char t49[16];
    char *t1;
    unsigned char t2;
    unsigned char t3;
    unsigned char t4;
    char *t5;
    char *t6;
    int t7;
    int t8;
    unsigned int t9;
    unsigned int t10;
    unsigned int t11;
    unsigned char t12;
    unsigned char t13;
    char *t14;
    char *t15;
    unsigned char t16;
    unsigned char t17;
    unsigned char t18;
    unsigned char t19;
    char *t20;
    int t21;
    int t22;
    unsigned int t23;
    unsigned int t24;
    unsigned int t25;
    unsigned char t26;
    unsigned char t27;
    char *t28;
    char *t29;
    unsigned char t30;
    unsigned char t31;
    char *t32;
    unsigned char t33;
    unsigned char t34;
    char *t35;
    int t36;
    int t37;
    unsigned int t38;
    unsigned int t39;
    unsigned int t40;
    unsigned char t41;
    char *t42;
    char *t43;
    char *t44;
    char *t45;
    char *t46;
    unsigned char t47;
    unsigned char t50;
    char *t51;
    char *t52;
    char *t53;
    char *t54;

LAB0:    xsi_set_current_line(100, ng0);
    t1 = (t0 + 992U);
    t2 = ieee_p_2592010699_sub_1744673427_503743352(IEEE_P_2592010699, t1, 0U, 0U);
    if (t2 != 0)
        goto LAB2;

LAB4:
LAB3:    t1 = (t0 + 8504);
    *((int *)t1) = 1;

LAB1:    return;
LAB2:    xsi_set_current_line(102, ng0);
    t5 = (t0 + 4232U);
    t6 = *((char **)t5);
    t7 = (2 - 1);
    t8 = (t7 - 0);
    t9 = (t8 * 1);
    t10 = (1U * t9);
    t11 = (0 + t10);
    t5 = (t6 + t11);
    t12 = *((unsigned char *)t5);
    t13 = (t12 == (unsigned char)3);
    if (t13 == 1)
        goto LAB11;

LAB12:    t4 = (unsigned char)0;

LAB13:    if (t4 == 1)
        goto LAB8;

LAB9:    t14 = (t0 + 4232U);
    t20 = *((char **)t14);
    t21 = (2 - 1);
    t22 = (t21 - 0);
    t23 = (t22 * 1);
    t24 = (1U * t23);
    t25 = (0 + t24);
    t14 = (t20 + t25);
    t26 = *((unsigned char *)t14);
    t27 = (t26 == (unsigned char)3);
    if (t27 == 1)
        goto LAB17;

LAB18:    t19 = (unsigned char)0;

LAB19:    if (t19 == 1)
        goto LAB14;

LAB15:    t18 = (unsigned char)0;

LAB16:    t3 = t18;

LAB10:    if (t3 != 0)
        goto LAB5;

LAB7:
LAB6:    xsi_set_current_line(107, ng0);
    t1 = (t0 + 3432U);
    t5 = *((char **)t1);
    t12 = *((unsigned char *)t5);
    t13 = (t12 == (unsigned char)3);
    if (t13 == 1)
        goto LAB29;

LAB30:    t4 = (unsigned char)0;

LAB31:    if (t4 == 1)
        goto LAB26;

LAB27:    t1 = (t0 + 3432U);
    t14 = *((char **)t1);
    t26 = *((unsigned char *)t14);
    t27 = (t26 == (unsigned char)3);
    if (t27 == 1)
        goto LAB35;

LAB36:    t19 = (unsigned char)0;

LAB37:    if (t19 == 1)
        goto LAB32;

LAB33:    t18 = (unsigned char)0;

LAB34:    t3 = t18;

LAB28:    if (t3 == 1)
        goto LAB23;

LAB24:    t1 = (t0 + 4232U);
    t28 = *((char **)t1);
    t7 = (2 - 1);
    t8 = (t7 - 0);
    t9 = (t8 * 1);
    t10 = (1U * t9);
    t11 = (0 + t10);
    t1 = (t28 + t11);
    t41 = *((unsigned char *)t1);
    t47 = (t41 == (unsigned char)2);
    t2 = t47;

LAB25:    if (t2 != 0)
        goto LAB20;

LAB22:
LAB21:    xsi_set_current_line(114, ng0);
    t1 = (t0 + 3432U);
    t5 = *((char **)t1);
    t3 = *((unsigned char *)t5);
    t4 = (t3 == (unsigned char)3);
    if (t4 == 1)
        goto LAB47;

LAB48:    t2 = (unsigned char)0;

LAB49:    if (t2 != 0)
        goto LAB44;

LAB46:
LAB45:    xsi_set_current_line(121, ng0);
    t1 = (t0 + 3432U);
    t5 = *((char **)t1);
    t2 = *((unsigned char *)t5);
    t3 = (t2 == (unsigned char)3);
    if (t3 != 0)
        goto LAB50;

LAB52:
LAB51:    goto LAB3;

LAB5:    xsi_set_current_line(103, ng0);
    t28 = (t0 + 4392U);
    t35 = *((char **)t28);
    t36 = (2 - 1);
    t37 = (t36 - 0);
    t38 = (t37 * 1);
    t39 = (1U * t38);
    t40 = (0 + t39);
    t28 = (t35 + t40);
    t41 = *((unsigned char *)t28);
    t42 = (t0 + 9096);
    t43 = (t42 + 56U);
    t44 = *((char **)t43);
    t45 = (t44 + 56U);
    t46 = *((char **)t45);
    *((unsigned char *)t46) = t41;
    xsi_driver_first_trans_fast(t42);
    goto LAB6;

LAB8:    t3 = (unsigned char)1;
    goto LAB10;

LAB11:    t14 = (t0 + 4552U);
    t15 = *((char **)t14);
    t16 = *((unsigned char *)t15);
    t17 = (t16 == (unsigned char)2);
    t4 = t17;
    goto LAB13;

LAB14:    t28 = (t0 + 4552U);
    t32 = *((char **)t28);
    t33 = *((unsigned char *)t32);
    t34 = (t33 == (unsigned char)3);
    t18 = t34;
    goto LAB16;

LAB17:    t28 = (t0 + 2792U);
    t29 = *((char **)t28);
    t30 = *((unsigned char *)t29);
    t31 = (t30 == (unsigned char)3);
    t19 = t31;
    goto LAB19;

LAB20:    xsi_set_current_line(108, ng0);
    t29 = (t0 + 3592U);
    t32 = *((char **)t29);
    t29 = (t0 + 4072U);
    t35 = *((char **)t29);
    t23 = (0 - 0);
    t24 = (t23 * 1U);
    t25 = (0 + t24);
    t29 = (t35 + t25);
    t43 = ((IEEE_P_2592010699) + 4024);
    t44 = (t0 + 14512U);
    t45 = (t49 + 0U);
    t46 = (t45 + 0U);
    *((int *)t46) = 0;
    t46 = (t45 + 4U);
    *((int *)t46) = 15;
    t46 = (t45 + 8U);
    *((int *)t46) = 1;
    t21 = (15 - 0);
    t38 = (t21 * 1);
    t38 = (t38 + 1);
    t46 = (t45 + 12U);
    *((unsigned int *)t46) = t38;
    t42 = xsi_base_array_concat(t42, t48, t43, (char)97, t32, t44, (char)97, t29, t49, (char)101);
    t38 = (16U + 16U);
    t50 = (32U != t38);
    if (t50 == 1)
        goto LAB38;

LAB39:    t46 = (t0 + 9160);
    t51 = (t46 + 56U);
    t52 = *((char **)t51);
    t53 = (t52 + 56U);
    t54 = *((char **)t53);
    memcpy(t54, t42, 32U);
    xsi_driver_first_trans_fast(t46);
    xsi_set_current_line(109, ng0);
    t1 = (t0 + 1352U);
    t5 = *((char **)t1);
    t2 = *((unsigned char *)t5);
    t1 = (t0 + 1832U);
    t6 = *((char **)t1);
    t3 = *((unsigned char *)t6);
    t4 = ieee_p_2592010699_sub_1605435078_503743352(IEEE_P_2592010699, t2, t3);
    t1 = (t0 + 2312U);
    t14 = *((char **)t1);
    t12 = *((unsigned char *)t14);
    t13 = ieee_p_2592010699_sub_1605435078_503743352(IEEE_P_2592010699, t4, t12);
    t1 = (t0 + 4232U);
    t15 = *((char **)t1);
    t9 = (0 - 0);
    t10 = (t9 * 1U);
    t11 = (0 + t10);
    t1 = (t15 + t11);
    t28 = ((IEEE_P_2592010699) + 4024);
    t29 = (t49 + 0U);
    t32 = (t29 + 0U);
    *((int *)t32) = 0;
    t32 = (t29 + 4U);
    *((int *)t32) = 0;
    t32 = (t29 + 8U);
    *((int *)t32) = 1;
    t7 = (0 - 0);
    t23 = (t7 * 1);
    t23 = (t23 + 1);
    t32 = (t29 + 12U);
    *((unsigned int *)t32) = t23;
    t20 = xsi_base_array_concat(t20, t48, t28, (char)99, t13, (char)97, t1, t49, (char)101);
    t23 = (1U + 1U);
    t16 = (2U != t23);
    if (t16 == 1)
        goto LAB40;

LAB41:    t32 = (t0 + 9224);
    t35 = (t32 + 56U);
    t42 = *((char **)t35);
    t43 = (t42 + 56U);
    t44 = *((char **)t43);
    memcpy(t44, t20, 2U);
    xsi_driver_first_trans_fast(t32);
    xsi_set_current_line(110, ng0);
    t1 = (t0 + 2152U);
    t5 = *((char **)t1);
    t7 = (0 - 0);
    t9 = (t7 * -1);
    t10 = (1U * t9);
    t11 = (0 + t10);
    t1 = (t5 + t11);
    t2 = *((unsigned char *)t1);
    t6 = (t0 + 4392U);
    t14 = *((char **)t6);
    t23 = (0 - 0);
    t24 = (t23 * 1U);
    t25 = (0 + t24);
    t6 = (t14 + t25);
    t20 = ((IEEE_P_2592010699) + 4024);
    t28 = (t49 + 0U);
    t29 = (t28 + 0U);
    *((int *)t29) = 0;
    t29 = (t28 + 4U);
    *((int *)t29) = 0;
    t29 = (t28 + 8U);
    *((int *)t29) = 1;
    t8 = (0 - 0);
    t38 = (t8 * 1);
    t38 = (t38 + 1);
    t29 = (t28 + 12U);
    *((unsigned int *)t29) = t38;
    t15 = xsi_base_array_concat(t15, t48, t20, (char)99, t2, (char)97, t6, t49, (char)101);
    t38 = (1U + 1U);
    t3 = (2U != t38);
    if (t3 == 1)
        goto LAB42;

LAB43:    t29 = (t0 + 9288);
    t32 = (t29 + 56U);
    t35 = *((char **)t32);
    t42 = (t35 + 56U);
    t43 = *((char **)t42);
    memcpy(t43, t15, 2U);
    xsi_driver_first_trans_fast(t29);
    goto LAB21;

LAB23:    t2 = (unsigned char)1;
    goto LAB25;

LAB26:    t3 = (unsigned char)1;
    goto LAB28;

LAB29:    t1 = (t0 + 4552U);
    t6 = *((char **)t1);
    t16 = *((unsigned char *)t6);
    t17 = (t16 == (unsigned char)2);
    t4 = t17;
    goto LAB31;

LAB32:    t1 = (t0 + 2792U);
    t20 = *((char **)t1);
    t33 = *((unsigned char *)t20);
    t34 = (t33 == (unsigned char)3);
    t18 = t34;
    goto LAB34;

LAB35:    t1 = (t0 + 4552U);
    t15 = *((char **)t1);
    t30 = *((unsigned char *)t15);
    t31 = (t30 == (unsigned char)3);
    t19 = t31;
    goto LAB37;

LAB38:    xsi_size_not_matching(32U, t38, 0);
    goto LAB39;

LAB40:    xsi_size_not_matching(2U, t23, 0);
    goto LAB41;

LAB42:    xsi_size_not_matching(2U, t38, 0);
    goto LAB43;

LAB44:    xsi_set_current_line(115, ng0);
    t14 = (t0 + 4072U);
    t15 = *((char **)t14);
    t21 = (2 - 1);
    t22 = (t21 * 16);
    t23 = (t22 - 0);
    t24 = (t23 * 1U);
    t25 = (0 + t24);
    t14 = (t15 + t25);
    t20 = (t49 + 0U);
    t28 = (t20 + 0U);
    *((int *)t28) = 16;
    t28 = (t20 + 4U);
    *((int *)t28) = 31;
    t28 = (t20 + 8U);
    *((int *)t28) = 1;
    t36 = (31 - 16);
    t38 = (t36 * 1);
    t38 = (t38 + 1);
    t28 = (t20 + 12U);
    *((unsigned int *)t28) = t38;
    t28 = (t0 + 3752U);
    t29 = *((char **)t28);
    t28 = (t0 + 14528U);
    t32 = ieee_p_1242562249_sub_3273497107_1035706684(IEEE_P_1242562249, t48, t14, t49, t29, t28);
    t35 = (t0 + 9352);
    t42 = (t35 + 56U);
    t43 = *((char **)t42);
    t44 = (t43 + 56U);
    t45 = *((char **)t44);
    memcpy(t45, t32, 16U);
    xsi_driver_first_trans_fast(t35);
    goto LAB45;

LAB47:    t1 = (t0 + 4232U);
    t6 = *((char **)t1);
    t7 = (2 - 1);
    t8 = (t7 - 0);
    t9 = (t8 * 1);
    t10 = (1U * t9);
    t11 = (0 + t10);
    t1 = (t6 + t11);
    t12 = *((unsigned char *)t1);
    t13 = (t12 == (unsigned char)3);
    t2 = t13;
    goto LAB49;

LAB50:    xsi_set_current_line(122, ng0);
    t1 = (t0 + 4392U);
    t6 = *((char **)t1);
    t7 = (2 - 1);
    t8 = (t7 - 0);
    t9 = (t8 * 1);
    t10 = (1U * t9);
    t11 = (0 + t10);
    t1 = (t6 + t11);
    t4 = *((unsigned char *)t1);
    t14 = (t0 + 4232U);
    t15 = *((char **)t14);
    t21 = (2 - 1);
    t22 = (t21 - 0);
    t23 = (t22 * 1);
    t24 = (1U * t23);
    t25 = (0 + t24);
    t14 = (t15 + t25);
    t12 = *((unsigned char *)t14);
    t13 = ieee_p_2592010699_sub_1605435078_503743352(IEEE_P_2592010699, t4, t12);
    t20 = (t0 + 9416);
    t28 = (t20 + 56U);
    t29 = *((char **)t28);
    t32 = (t29 + 56U);
    t35 = *((char **)t32);
    *((unsigned char *)t35) = t13;
    xsi_driver_first_trans_fast_port(t20);
    goto LAB51;

}