コード例 #1
0
static void work_a_0772837150_3212880686_p_0(char *t0)
{
    char t6[16];
    char t11[16];
    char *t1;
    char *t2;
    unsigned char t3;
    char *t4;
    unsigned char t5;
    char *t7;
    char *t8;
    char *t9;
    unsigned char t10;
    char *t12;
    unsigned int t13;
    unsigned int t14;
    unsigned char t15;
    char *t16;
    char *t17;
    char *t18;
    char *t19;
    char *t20;
    char *t21;

LAB0:
    xsi_set_current_line(40, ng0);

LAB3:
    t1 = (t0 + 1032U);
    t2 = *((char **)t1);
    t3 = *((unsigned char *)t2);
    t1 = (t0 + 1192U);
    t4 = *((char **)t1);
    t5 = *((unsigned char *)t4);
    t7 = ((IEEE_P_2592010699) + 4024);
    t1 = xsi_base_array_concat(t1, t6, t7, (char)99, t3, (char)99, t5, (char)101);
    t8 = (t0 + 1352U);
    t9 = *((char **)t8);
    t10 = *((unsigned char *)t9);
    t12 = ((IEEE_P_2592010699) + 4024);
    t8 = xsi_base_array_concat(t8, t11, t12, (char)97, t1, t6, (char)99, t10, (char)101);
    t13 = (1U + 1U);
    t14 = (t13 + 1U);
    t15 = (3U != t14);
    if (t15 == 1)
        goto LAB5;

LAB6:
    t16 = (t0 + 4664);
    t17 = (t16 + 56U);
    t18 = *((char **)t17);
    t19 = (t18 + 56U);
    t20 = *((char **)t19);
    memcpy(t20, t8, 3U);
    xsi_driver_first_trans_fast(t16);

LAB2:
    t21 = (t0 + 4536);
    *((int *)t21) = 1;

LAB1:
    return;
LAB4:
    goto LAB2;

LAB5:
    xsi_size_not_matching(3U, t14, 0);
    goto LAB6;

}
コード例 #2
0
static void work_a_2399776393_3027548060_p_4(char *t0)
{
    char t7[16];
    char *t1;
    char *t2;
    unsigned char t3;
    unsigned char t4;
    char *t5;
    char *t6;
    char *t8;
    char *t9;
    char *t10;
    unsigned int t11;
    unsigned char t12;
    char *t13;
    char *t14;
    char *t15;
    char *t16;
    char *t17;

LAB0:    xsi_set_current_line(163, ng0);
    t1 = (t0 + 1832U);
    t2 = *((char **)t1);
    t3 = *((unsigned char *)t2);
    t4 = (t3 == (unsigned char)3);
    if (t4 != 0)
        goto LAB2;

LAB4:    t1 = (t0 + 1832U);
    t2 = *((char **)t1);
    t3 = *((unsigned char *)t2);
    t4 = (t3 == (unsigned char)2);
    if (t4 != 0)
        goto LAB7;

LAB8:
LAB3:    t1 = (t0 + 7760);
    *((int *)t1) = 1;

LAB1:    return;
LAB2:    xsi_set_current_line(164, ng0);
    t1 = (t0 + 3592U);
    t5 = *((char **)t1);
    t1 = (t0 + 3752U);
    t6 = *((char **)t1);
    t8 = ((IEEE_P_2592010699) + 4024);
    t9 = (t0 + 12772U);
    t10 = (t0 + 12772U);
    t1 = xsi_base_array_concat(t1, t7, t8, (char)97, t5, t9, (char)97, t6, t10, (char)101);
    t11 = (4U + 4U);
    t12 = (8U != t11);
    if (t12 == 1)
        goto LAB5;

LAB6:    t13 = (t0 + 8160);
    t14 = (t13 + 56U);
    t15 = *((char **)t14);
    t16 = (t15 + 56U);
    t17 = *((char **)t16);
    memcpy(t17, t1, 8U);
    xsi_driver_first_trans_fast_port(t13);
    goto LAB3;

LAB5:    xsi_size_not_matching(8U, t11, 0);
    goto LAB6;

LAB7:    xsi_set_current_line(166, ng0);
    t1 = (t0 + 4232U);
    t5 = *((char **)t1);
    t1 = (t0 + 8160);
    t6 = (t1 + 56U);
    t8 = *((char **)t6);
    t9 = (t8 + 56U);
    t10 = *((char **)t9);
    memcpy(t10, t5, 8U);
    xsi_driver_first_trans_fast_port(t1);
    goto LAB3;

}
コード例 #3
0
static void work_a_0840906834_3665547200_p_1(char *t0)
{
    char t25[16];
    char *t1;
    char *t2;
    unsigned char t3;
    unsigned char t4;
    char *t5;
    char *t6;
    char *t7;
    char *t8;
    char *t9;
    unsigned char t10;
    unsigned char t11;
    unsigned char t12;
    unsigned char t13;
    unsigned char t14;
    unsigned char t15;
    unsigned char t16;
    unsigned char t17;
    char *t18;
    unsigned char t19;
    unsigned char t20;
    char *t21;
    char *t22;
    char *t23;
    char *t24;
    unsigned int t26;
    int t27;
    int t28;
    unsigned int t29;
    unsigned int t30;
    int t31;
    unsigned int t32;
    unsigned int t33;
    unsigned int t34;
    char *t35;
    char *t36;
    char *t37;
    static char *nl0[] = {&&LAB17, &&LAB18, &&LAB19, &&LAB20, &&LAB21, &&LAB22, &&LAB23, &&LAB24, &&LAB25};
    static char *nl1[] = {&&LAB76, &&LAB71, &&LAB76, &&LAB72, &&LAB76, &&LAB73, &&LAB74, &&LAB76, &&LAB75};

LAB0:    xsi_set_current_line(110, ng0);
    t1 = (t0 + 1192U);
    t2 = *((char **)t1);
    t3 = *((unsigned char *)t2);
    t4 = (t3 == (unsigned char)2);
    if (t4 != 0)
        goto LAB2;

LAB4:    t1 = (t0 + 992U);
    t4 = xsi_signal_has_event(t1);
    if (t4 == 1)
        goto LAB7;

LAB8:    t3 = (unsigned char)0;

LAB9:    if (t3 != 0)
        goto LAB5;

LAB6:
LAB3:    t1 = (t0 + 7520);
    *((int *)t1) = 1;

LAB1:    return;
LAB2:    xsi_set_current_line(111, ng0);
    t1 = (t0 + 7904);
    t5 = (t1 + 56U);
    t6 = *((char **)t5);
    t7 = (t6 + 56U);
    t8 = *((char **)t7);
    *((unsigned char *)t8) = (unsigned char)0;
    xsi_driver_first_trans_fast(t1);
    xsi_set_current_line(112, ng0);
    t1 = (t0 + 7968);
    t2 = (t1 + 56U);
    t5 = *((char **)t2);
    t6 = (t5 + 56U);
    t7 = *((char **)t6);
    *((unsigned char *)t7) = (unsigned char)3;
    xsi_driver_first_trans_fast_port(t1);
    xsi_set_current_line(113, ng0);
    t1 = (t0 + 8032);
    t2 = (t1 + 56U);
    t5 = *((char **)t2);
    t6 = (t5 + 56U);
    t7 = *((char **)t6);
    *((unsigned char *)t7) = (unsigned char)2;
    xsi_driver_first_trans_fast(t1);
    xsi_set_current_line(114, ng0);
    t1 = (t0 + 8096);
    t2 = (t1 + 56U);
    t5 = *((char **)t2);
    t6 = (t5 + 56U);
    t7 = *((char **)t6);
    *((unsigned char *)t7) = (unsigned char)3;
    xsi_driver_first_trans_fast(t1);
    xsi_set_current_line(115, ng0);
    t1 = (t0 + 8160);
    t2 = (t1 + 56U);
    t5 = *((char **)t2);
    t6 = (t5 + 56U);
    t7 = *((char **)t6);
    *((unsigned char *)t7) = (unsigned char)2;
    xsi_driver_first_trans_fast_port(t1);
    xsi_set_current_line(116, ng0);
    t1 = (t0 + 8224);
    t2 = (t1 + 56U);
    t5 = *((char **)t2);
    t6 = (t5 + 56U);
    t7 = *((char **)t6);
    *((int *)t7) = 7;
    xsi_driver_first_trans_fast(t1);
    xsi_set_current_line(117, ng0);
    t1 = (t0 + 13496);
    t5 = (t0 + 8288);
    t6 = (t5 + 56U);
    t7 = *((char **)t6);
    t8 = (t7 + 56U);
    t9 = *((char **)t8);
    memcpy(t9, t1, 8U);
    xsi_driver_first_trans_fast_port(t5);
    goto LAB3;

LAB5:    xsi_set_current_line(119, ng0);
    t2 = (t0 + 2952U);
    t6 = *((char **)t2);
    t13 = *((unsigned char *)t6);
    t14 = (t13 == (unsigned char)3);
    if (t14 == 1)
        goto LAB13;

LAB14:    t12 = (unsigned char)0;

LAB15:    if (t12 != 0)
        goto LAB10;

LAB12:    t1 = (t0 + 2952U);
    t2 = *((char **)t1);
    t4 = *((unsigned char *)t2);
    t10 = (t4 == (unsigned char)2);
    if (t10 == 1)
        goto LAB67;

LAB68:    t3 = (unsigned char)0;

LAB69:    if (t3 != 0)
        goto LAB65;

LAB66:
LAB11:    goto LAB3;

LAB7:    t2 = (t0 + 1032U);
    t5 = *((char **)t2);
    t10 = *((unsigned char *)t5);
    t11 = (t10 == (unsigned char)3);
    t3 = t11;
    goto LAB9;

LAB10:    xsi_set_current_line(120, ng0);
    t2 = (t0 + 2792U);
    t8 = *((char **)t2);
    t17 = *((unsigned char *)t8);
    t2 = (char *)((nl0) + t17);
    goto **((char **)t2);

LAB13:    t2 = (t0 + 3112U);
    t7 = *((char **)t2);
    t15 = *((unsigned char *)t7);
    t16 = (t15 == (unsigned char)2);
    t12 = t16;
    goto LAB15;

LAB16:    goto LAB11;

LAB17:    xsi_set_current_line(122, ng0);
    t9 = (t0 + 1352U);
    t18 = *((char **)t9);
    t19 = *((unsigned char *)t18);
    t20 = (t19 == (unsigned char)3);
    if (t20 != 0)
        goto LAB26;

LAB28:    xsi_set_current_line(128, ng0);
    t1 = (t0 + 7968);
    t2 = (t1 + 56U);
    t5 = *((char **)t2);
    t6 = (t5 + 56U);
    t7 = *((char **)t6);
    *((unsigned char *)t7) = (unsigned char)2;
    xsi_driver_first_trans_fast_port(t1);
    xsi_set_current_line(129, ng0);
    t1 = (t0 + 7904);
    t2 = (t1 + 56U);
    t5 = *((char **)t2);
    t6 = (t5 + 56U);
    t7 = *((char **)t6);
    *((unsigned char *)t7) = (unsigned char)0;
    xsi_driver_first_trans_fast(t1);

LAB27:    goto LAB16;

LAB18:    xsi_set_current_line(132, ng0);
    t1 = (t0 + 7968);
    t2 = (t1 + 56U);
    t5 = *((char **)t2);
    t6 = (t5 + 56U);
    t7 = *((char **)t6);
    *((unsigned char *)t7) = (unsigned char)3;
    xsi_driver_first_trans_fast_port(t1);
    xsi_set_current_line(133, ng0);
    t1 = (t0 + 3912U);
    t2 = *((char **)t1);
    t1 = (t0 + 4392U);
    t5 = *((char **)t1);
    t27 = *((int *)t5);
    t28 = (t27 - 7);
    t26 = (t28 * -1);
    xsi_vhdl_check_range_of_index(7, 0, -1, t27);
    t29 = (1U * t26);
    t30 = (0 + t29);
    t1 = (t2 + t30);
    t3 = *((unsigned char *)t1);
    t6 = (t0 + 8096);
    t7 = (t6 + 56U);
    t8 = *((char **)t7);
    t9 = (t8 + 56U);
    t18 = *((char **)t9);
    *((unsigned char *)t18) = t3;
    xsi_driver_first_trans_fast(t6);
    xsi_set_current_line(134, ng0);
    t1 = (t0 + 7904);
    t2 = (t1 + 56U);
    t5 = *((char **)t2);
    t6 = (t5 + 56U);
    t7 = *((char **)t6);
    *((unsigned char *)t7) = (unsigned char)2;
    xsi_driver_first_trans_fast(t1);
    goto LAB16;

LAB19:    xsi_set_current_line(136, ng0);
    t1 = (t0 + 4392U);
    t2 = *((char **)t1);
    t27 = *((int *)t2);
    t3 = (t27 == 0);
    if (t3 != 0)
        goto LAB31;

LAB33:    xsi_set_current_line(141, ng0);
    t1 = (t0 + 4392U);
    t2 = *((char **)t1);
    t27 = *((int *)t2);
    t28 = (t27 - 1);
    t1 = (t0 + 8224);
    t5 = (t1 + 56U);
    t6 = *((char **)t5);
    t7 = (t6 + 56U);
    t8 = *((char **)t7);
    *((int *)t8) = t28;
    xsi_driver_first_trans_fast(t1);
    xsi_set_current_line(142, ng0);
    t1 = (t0 + 3912U);
    t2 = *((char **)t1);
    t1 = (t0 + 4392U);
    t5 = *((char **)t1);
    t27 = *((int *)t5);
    t28 = (t27 - 1);
    t31 = (t28 - 7);
    t26 = (t31 * -1);
    xsi_vhdl_check_range_of_index(7, 0, -1, t28);
    t29 = (1U * t26);
    t30 = (0 + t29);
    t1 = (t2 + t30);
    t3 = *((unsigned char *)t1);
    t6 = (t0 + 8096);
    t7 = (t6 + 56U);
    t8 = *((char **)t7);
    t9 = (t8 + 56U);
    t18 = *((char **)t9);
    *((unsigned char *)t18) = t3;
    xsi_driver_first_trans_fast(t6);
    xsi_set_current_line(143, ng0);
    t1 = (t0 + 7904);
    t2 = (t1 + 56U);
    t5 = *((char **)t2);
    t6 = (t5 + 56U);
    t7 = *((char **)t6);
    *((unsigned char *)t7) = (unsigned char)2;
    xsi_driver_first_trans_fast(t1);

LAB32:    goto LAB16;

LAB20:    xsi_set_current_line(146, ng0);
    t1 = (t0 + 3912U);
    t2 = *((char **)t1);
    t27 = (0 - 7);
    t26 = (t27 * -1);
    t29 = (1U * t26);
    t30 = (0 + t29);
    t1 = (t2 + t30);
    t3 = *((unsigned char *)t1);
    t4 = (t3 == (unsigned char)2);
    if (t4 != 0)
        goto LAB34;

LAB36:    xsi_set_current_line(150, ng0);
    t1 = (t0 + 8096);
    t2 = (t1 + 56U);
    t5 = *((char **)t2);
    t6 = (t5 + 56U);
    t7 = *((char **)t6);
    *((unsigned char *)t7) = (unsigned char)3;
    xsi_driver_first_trans_fast(t1);
    xsi_set_current_line(151, ng0);
    t1 = (t0 + 7904);
    t2 = (t1 + 56U);
    t5 = *((char **)t2);
    t6 = (t5 + 56U);
    t7 = *((char **)t6);
    *((unsigned char *)t7) = (unsigned char)5;
    xsi_driver_first_trans_fast(t1);

LAB35:    goto LAB16;

LAB21:    xsi_set_current_line(154, ng0);
    t1 = (t0 + 7968);
    t2 = (t1 + 56U);
    t5 = *((char **)t2);
    t6 = (t5 + 56U);
    t7 = *((char **)t6);
    *((unsigned char *)t7) = (unsigned char)3;
    xsi_driver_first_trans_fast_port(t1);
    xsi_set_current_line(155, ng0);
    t1 = (t0 + 4392U);
    t2 = *((char **)t1);
    t27 = *((int *)t2);
    t3 = (t27 == 0);
    if (t3 != 0)
        goto LAB37;

LAB39:    xsi_set_current_line(160, ng0);
    t1 = (t0 + 4392U);
    t2 = *((char **)t1);
    t27 = *((int *)t2);
    t28 = (t27 - 1);
    t1 = (t0 + 8224);
    t5 = (t1 + 56U);
    t6 = *((char **)t5);
    t7 = (t6 + 56U);
    t8 = *((char **)t7);
    *((int *)t8) = t28;
    xsi_driver_first_trans_fast(t1);
    xsi_set_current_line(161, ng0);
    t1 = (t0 + 4072U);
    t2 = *((char **)t1);
    t1 = (t0 + 4392U);
    t5 = *((char **)t1);
    t27 = *((int *)t5);
    t28 = (t27 - 1);
    t31 = (t28 - 7);
    t26 = (t31 * -1);
    xsi_vhdl_check_range_of_index(7, 0, -1, t28);
    t29 = (1U * t26);
    t30 = (0 + t29);
    t1 = (t2 + t30);
    t3 = *((unsigned char *)t1);
    t6 = (t0 + 8096);
    t7 = (t6 + 56U);
    t8 = *((char **)t7);
    t9 = (t8 + 56U);
    t18 = *((char **)t9);
    *((unsigned char *)t18) = t3;
    xsi_driver_first_trans_fast(t6);
    xsi_set_current_line(162, ng0);
    t1 = (t0 + 7904);
    t2 = (t1 + 56U);
    t5 = *((char **)t2);
    t6 = (t5 + 56U);
    t7 = *((char **)t6);
    *((unsigned char *)t7) = (unsigned char)4;
    xsi_driver_first_trans_fast(t1);

LAB38:    goto LAB16;

LAB22:    xsi_set_current_line(165, ng0);
    t1 = (t0 + 7968);
    t2 = (t1 + 56U);
    t5 = *((char **)t2);
    t6 = (t5 + 56U);
    t7 = *((char **)t6);
    *((unsigned char *)t7) = (unsigned char)3;
    xsi_driver_first_trans_fast_port(t1);
    xsi_set_current_line(166, ng0);
    t1 = (t0 + 4392U);
    t2 = *((char **)t1);
    t27 = *((int *)t2);
    t3 = (t27 == 0);
    if (t3 != 0)
        goto LAB40;

LAB42:    xsi_set_current_line(176, ng0);
    t1 = (t0 + 4392U);
    t2 = *((char **)t1);
    t27 = *((int *)t2);
    t28 = (t27 - 1);
    t1 = (t0 + 8224);
    t5 = (t1 + 56U);
    t6 = *((char **)t5);
    t7 = (t6 + 56U);
    t8 = *((char **)t7);
    *((int *)t8) = t28;
    xsi_driver_first_trans_fast(t1);
    xsi_set_current_line(177, ng0);
    t1 = (t0 + 7904);
    t2 = (t1 + 56U);
    t5 = *((char **)t2);
    t6 = (t5 + 56U);
    t7 = *((char **)t6);
    *((unsigned char *)t7) = (unsigned char)5;
    xsi_driver_first_trans_fast(t1);

LAB41:    goto LAB16;

LAB23:    xsi_set_current_line(180, ng0);
    t1 = (t0 + 1352U);
    t2 = *((char **)t1);
    t3 = *((unsigned char *)t2);
    t4 = (t3 == (unsigned char)3);
    if (t4 != 0)
        goto LAB49;

LAB51:    xsi_set_current_line(191, ng0);
    t1 = (t0 + 7904);
    t2 = (t1 + 56U);
    t5 = *((char **)t2);
    t6 = (t5 + 56U);
    t7 = *((char **)t6);
    *((unsigned char *)t7) = (unsigned char)8;
    xsi_driver_first_trans_fast(t1);

LAB50:    goto LAB16;

LAB24:    xsi_set_current_line(194, ng0);
    t1 = (t0 + 1352U);
    t2 = *((char **)t1);
    t3 = *((unsigned char *)t2);
    t4 = (t3 == (unsigned char)3);
    if (t4 != 0)
        goto LAB57;

LAB59:    xsi_set_current_line(205, ng0);
    t1 = (t0 + 7904);
    t2 = (t1 + 56U);
    t5 = *((char **)t2);
    t6 = (t5 + 56U);
    t7 = *((char **)t6);
    *((unsigned char *)t7) = (unsigned char)8;
    xsi_driver_first_trans_fast(t1);

LAB58:    goto LAB16;

LAB25:    xsi_set_current_line(208, ng0);
    t1 = (t0 + 7968);
    t2 = (t1 + 56U);
    t5 = *((char **)t2);
    t6 = (t5 + 56U);
    t7 = *((char **)t6);
    *((unsigned char *)t7) = (unsigned char)2;
    xsi_driver_first_trans_fast_port(t1);
    xsi_set_current_line(209, ng0);
    t1 = (t0 + 7904);
    t2 = (t1 + 56U);
    t5 = *((char **)t2);
    t6 = (t5 + 56U);
    t7 = *((char **)t6);
    *((unsigned char *)t7) = (unsigned char)0;
    xsi_driver_first_trans_fast(t1);
    goto LAB16;

LAB26:    xsi_set_current_line(123, ng0);
    t9 = (t0 + 7968);
    t21 = (t9 + 56U);
    t22 = *((char **)t21);
    t23 = (t22 + 56U);
    t24 = *((char **)t23);
    *((unsigned char *)t24) = (unsigned char)3;
    xsi_driver_first_trans_fast_port(t9);
    xsi_set_current_line(124, ng0);
    t1 = (t0 + 1512U);
    t2 = *((char **)t1);
    t1 = (t0 + 1672U);
    t5 = *((char **)t1);
    t3 = *((unsigned char *)t5);
    t6 = ((IEEE_P_2592010699) + 4024);
    t7 = (t0 + 13284U);
    t1 = xsi_base_array_concat(t1, t25, t6, (char)97, t2, t7, (char)99, t3, (char)101);
    t26 = (7U + 1U);
    t4 = (8U != t26);
    if (t4 == 1)
        goto LAB29;

LAB30:    t8 = (t0 + 8352);
    t9 = (t8 + 56U);
    t18 = *((char **)t9);
    t21 = (t18 + 56U);
    t22 = *((char **)t21);
    memcpy(t22, t1, 8U);
    xsi_driver_first_trans_fast(t8);
    xsi_set_current_line(125, ng0);
    t1 = (t0 + 1832U);
    t2 = *((char **)t1);
    t1 = (t0 + 8416);
    t5 = (t1 + 56U);
    t6 = *((char **)t5);
    t7 = (t6 + 56U);
    t8 = *((char **)t7);
    memcpy(t8, t2, 8U);
    xsi_driver_first_trans_fast(t1);
    xsi_set_current_line(126, ng0);
    t1 = (t0 + 7904);
    t2 = (t1 + 56U);
    t5 = *((char **)t2);
    t6 = (t5 + 56U);
    t7 = *((char **)t6);
    *((unsigned char *)t7) = (unsigned char)1;
    xsi_driver_first_trans_fast(t1);
    goto LAB27;

LAB29:    xsi_size_not_matching(8U, t26, 0);
    goto LAB30;

LAB31:    xsi_set_current_line(137, ng0);
    t1 = (t0 + 8096);
    t5 = (t1 + 56U);
    t6 = *((char **)t5);
    t7 = (t6 + 56U);
    t8 = *((char **)t7);
    *((unsigned char *)t8) = (unsigned char)3;
    xsi_driver_first_trans_fast(t1);
    xsi_set_current_line(138, ng0);
    t1 = (t0 + 8224);
    t2 = (t1 + 56U);
    t5 = *((char **)t2);
    t6 = (t5 + 56U);
    t7 = *((char **)t6);
    *((int *)t7) = 7;
    xsi_driver_first_trans_fast(t1);
    xsi_set_current_line(139, ng0);
    t1 = (t0 + 7904);
    t2 = (t1 + 56U);
    t5 = *((char **)t2);
    t6 = (t5 + 56U);
    t7 = *((char **)t6);
    *((unsigned char *)t7) = (unsigned char)3;
    xsi_driver_first_trans_fast(t1);
    goto LAB32;

LAB34:    xsi_set_current_line(147, ng0);
    t5 = (t0 + 4072U);
    t6 = *((char **)t5);
    t5 = (t0 + 4392U);
    t7 = *((char **)t5);
    t28 = *((int *)t7);
    t31 = (t28 - 7);
    t32 = (t31 * -1);
    xsi_vhdl_check_range_of_index(7, 0, -1, t28);
    t33 = (1U * t32);
    t34 = (0 + t33);
    t5 = (t6 + t34);
    t10 = *((unsigned char *)t5);
    t8 = (t0 + 8096);
    t9 = (t8 + 56U);
    t18 = *((char **)t9);
    t21 = (t18 + 56U);
    t22 = *((char **)t21);
    *((unsigned char *)t22) = t10;
    xsi_driver_first_trans_fast(t8);
    xsi_set_current_line(148, ng0);
    t1 = (t0 + 7904);
    t2 = (t1 + 56U);
    t5 = *((char **)t2);
    t6 = (t5 + 56U);
    t7 = *((char **)t6);
    *((unsigned char *)t7) = (unsigned char)4;
    xsi_driver_first_trans_fast(t1);
    goto LAB35;

LAB37:    xsi_set_current_line(156, ng0);
    t1 = (t0 + 8096);
    t5 = (t1 + 56U);
    t6 = *((char **)t5);
    t7 = (t6 + 56U);
    t8 = *((char **)t7);
    *((unsigned char *)t8) = (unsigned char)3;
    xsi_driver_first_trans_fast(t1);
    xsi_set_current_line(157, ng0);
    t1 = (t0 + 8224);
    t2 = (t1 + 56U);
    t5 = *((char **)t2);
    t6 = (t5 + 56U);
    t7 = *((char **)t6);
    *((int *)t7) = 7;
    xsi_driver_first_trans_fast(t1);
    xsi_set_current_line(158, ng0);
    t1 = (t0 + 7904);
    t2 = (t1 + 56U);
    t5 = *((char **)t2);
    t6 = (t5 + 56U);
    t7 = *((char **)t6);
    *((unsigned char *)t7) = (unsigned char)6;
    xsi_driver_first_trans_fast(t1);
    goto LAB38;

LAB40:    xsi_set_current_line(167, ng0);
    t1 = (t0 + 1352U);
    t5 = *((char **)t1);
    t10 = *((unsigned char *)t5);
    t11 = (t10 == (unsigned char)3);
    if (t11 == 1)
        goto LAB46;

LAB47:    t4 = (unsigned char)0;

LAB48:    if (t4 != 0)
        goto LAB43;

LAB45:    xsi_set_current_line(170, ng0);
    t1 = (t0 + 8096);
    t2 = (t1 + 56U);
    t5 = *((char **)t2);
    t6 = (t5 + 56U);
    t7 = *((char **)t6);
    *((unsigned char *)t7) = (unsigned char)3;
    xsi_driver_first_trans_fast(t1);

LAB44:    xsi_set_current_line(172, ng0);
    t1 = (t0 + 8224);
    t2 = (t1 + 56U);
    t5 = *((char **)t2);
    t6 = (t5 + 56U);
    t7 = *((char **)t6);
    *((int *)t7) = 7;
    xsi_driver_first_trans_fast(t1);
    xsi_set_current_line(173, ng0);
    t1 = (t0 + 4232U);
    t2 = *((char **)t1);
    t1 = (t0 + 8288);
    t5 = (t1 + 56U);
    t6 = *((char **)t5);
    t7 = (t6 + 56U);
    t8 = *((char **)t7);
    memcpy(t8, t2, 8U);
    xsi_driver_first_trans_fast_port(t1);
    xsi_set_current_line(174, ng0);
    t1 = (t0 + 7904);
    t2 = (t1 + 56U);
    t5 = *((char **)t2);
    t6 = (t5 + 56U);
    t7 = *((char **)t6);
    *((unsigned char *)t7) = (unsigned char)7;
    xsi_driver_first_trans_fast(t1);
    goto LAB41;

LAB43:    xsi_set_current_line(168, ng0);
    t22 = (t0 + 8096);
    t23 = (t22 + 56U);
    t24 = *((char **)t23);
    t35 = (t24 + 56U);
    t36 = *((char **)t35);
    *((unsigned char *)t36) = (unsigned char)2;
    xsi_driver_first_trans_fast(t22);
    goto LAB44;

LAB46:    t1 = (t0 + 3912U);
    t6 = *((char **)t1);
    t1 = (t0 + 13332U);
    t7 = (t0 + 1512U);
    t8 = *((char **)t7);
    t7 = (t0 + 1672U);
    t9 = *((char **)t7);
    t12 = *((unsigned char *)t9);
    t18 = ((IEEE_P_2592010699) + 4024);
    t21 = (t0 + 13284U);
    t7 = xsi_base_array_concat(t7, t25, t18, (char)97, t8, t21, (char)99, t12, (char)101);
    t13 = ieee_std_logic_unsigned_equal_stdv_stdv(IEEE_P_3620187407, t6, t1, t7, t25);
    t4 = t13;
    goto LAB48;

LAB49:    xsi_set_current_line(181, ng0);
    t1 = (t0 + 7968);
    t5 = (t1 + 56U);
    t6 = *((char **)t5);
    t7 = (t6 + 56U);
    t8 = *((char **)t7);
    *((unsigned char *)t8) = (unsigned char)2;
    xsi_driver_first_trans_fast_port(t1);
    xsi_set_current_line(182, ng0);
    t1 = (t0 + 1512U);
    t2 = *((char **)t1);
    t1 = (t0 + 1672U);
    t5 = *((char **)t1);
    t3 = *((unsigned char *)t5);
    t6 = ((IEEE_P_2592010699) + 4024);
    t7 = (t0 + 13284U);
    t1 = xsi_base_array_concat(t1, t25, t6, (char)97, t2, t7, (char)99, t3, (char)101);
    t26 = (7U + 1U);
    t4 = (8U != t26);
    if (t4 == 1)
        goto LAB52;

LAB53:    t8 = (t0 + 8352);
    t9 = (t8 + 56U);
    t18 = *((char **)t9);
    t21 = (t18 + 56U);
    t22 = *((char **)t21);
    memcpy(t22, t1, 8U);
    xsi_driver_first_trans_fast(t8);
    xsi_set_current_line(183, ng0);
    t1 = (t0 + 1832U);
    t2 = *((char **)t1);
    t1 = (t0 + 8416);
    t5 = (t1 + 56U);
    t6 = *((char **)t5);
    t7 = (t6 + 56U);
    t8 = *((char **)t7);
    memcpy(t8, t2, 8U);
    xsi_driver_first_trans_fast(t1);
    xsi_set_current_line(184, ng0);
    t1 = (t0 + 3912U);
    t2 = *((char **)t1);
    t1 = (t0 + 13332U);
    t5 = (t0 + 1512U);
    t6 = *((char **)t5);
    t5 = (t0 + 1672U);
    t7 = *((char **)t5);
    t3 = *((unsigned char *)t7);
    t8 = ((IEEE_P_2592010699) + 4024);
    t9 = (t0 + 13284U);
    t5 = xsi_base_array_concat(t5, t25, t8, (char)97, t6, t9, (char)99, t3, (char)101);
    t4 = ieee_std_logic_unsigned_equal_stdv_stdv(IEEE_P_3620187407, t2, t1, t5, t25);
    if (t4 != 0)
        goto LAB54;

LAB56:    xsi_set_current_line(188, ng0);
    t1 = (t0 + 7904);
    t2 = (t1 + 56U);
    t5 = *((char **)t2);
    t6 = (t5 + 56U);
    t7 = *((char **)t6);
    *((unsigned char *)t7) = (unsigned char)1;
    xsi_driver_first_trans_fast(t1);

LAB55:    goto LAB50;

LAB52:    xsi_size_not_matching(8U, t26, 0);
    goto LAB53;

LAB54:    xsi_set_current_line(185, ng0);
    t18 = (t0 + 1832U);
    t21 = *((char **)t18);
    t18 = (t0 + 4392U);
    t22 = *((char **)t18);
    t27 = *((int *)t22);
    t28 = (t27 - 7);
    t26 = (t28 * -1);
    xsi_vhdl_check_range_of_index(7, 0, -1, t27);
    t29 = (1U * t26);
    t30 = (0 + t29);
    t18 = (t21 + t30);
    t10 = *((unsigned char *)t18);
    t23 = (t0 + 8096);
    t24 = (t23 + 56U);
    t35 = *((char **)t24);
    t36 = (t35 + 56U);
    t37 = *((char **)t36);
    *((unsigned char *)t37) = t10;
    xsi_driver_first_trans_fast(t23);
    xsi_set_current_line(186, ng0);
    t1 = (t0 + 7904);
    t2 = (t1 + 56U);
    t5 = *((char **)t2);
    t6 = (t5 + 56U);
    t7 = *((char **)t6);
    *((unsigned char *)t7) = (unsigned char)4;
    xsi_driver_first_trans_fast(t1);
    goto LAB55;

LAB57:    xsi_set_current_line(195, ng0);
    t1 = (t0 + 7968);
    t5 = (t1 + 56U);
    t6 = *((char **)t5);
    t7 = (t6 + 56U);
    t8 = *((char **)t7);
    *((unsigned char *)t8) = (unsigned char)2;
    xsi_driver_first_trans_fast_port(t1);
    xsi_set_current_line(196, ng0);
    t1 = (t0 + 1512U);
    t2 = *((char **)t1);
    t1 = (t0 + 1672U);
    t5 = *((char **)t1);
    t3 = *((unsigned char *)t5);
    t6 = ((IEEE_P_2592010699) + 4024);
    t7 = (t0 + 13284U);
    t1 = xsi_base_array_concat(t1, t25, t6, (char)97, t2, t7, (char)99, t3, (char)101);
    t26 = (7U + 1U);
    t4 = (8U != t26);
    if (t4 == 1)
        goto LAB60;

LAB61:    t8 = (t0 + 8352);
    t9 = (t8 + 56U);
    t18 = *((char **)t9);
    t21 = (t18 + 56U);
    t22 = *((char **)t21);
    memcpy(t22, t1, 8U);
    xsi_driver_first_trans_fast(t8);
    xsi_set_current_line(197, ng0);
    t1 = (t0 + 1832U);
    t2 = *((char **)t1);
    t1 = (t0 + 8416);
    t5 = (t1 + 56U);
    t6 = *((char **)t5);
    t7 = (t6 + 56U);
    t8 = *((char **)t7);
    memcpy(t8, t2, 8U);
    xsi_driver_first_trans_fast(t1);
    xsi_set_current_line(198, ng0);
    t1 = (t0 + 3912U);
    t2 = *((char **)t1);
    t1 = (t0 + 13332U);
    t5 = (t0 + 1512U);
    t6 = *((char **)t5);
    t5 = (t0 + 1672U);
    t7 = *((char **)t5);
    t3 = *((unsigned char *)t7);
    t8 = ((IEEE_P_2592010699) + 4024);
    t9 = (t0 + 13284U);
    t5 = xsi_base_array_concat(t5, t25, t8, (char)97, t6, t9, (char)99, t3, (char)101);
    t4 = ieee_std_logic_unsigned_equal_stdv_stdv(IEEE_P_3620187407, t2, t1, t5, t25);
    if (t4 != 0)
        goto LAB62;

LAB64:    xsi_set_current_line(202, ng0);
    t1 = (t0 + 7904);
    t2 = (t1 + 56U);
    t5 = *((char **)t2);
    t6 = (t5 + 56U);
    t7 = *((char **)t6);
    *((unsigned char *)t7) = (unsigned char)1;
    xsi_driver_first_trans_fast(t1);

LAB63:    goto LAB58;

LAB60:    xsi_size_not_matching(8U, t26, 0);
    goto LAB61;

LAB62:    xsi_set_current_line(199, ng0);
    t18 = (t0 + 8096);
    t21 = (t18 + 56U);
    t22 = *((char **)t21);
    t23 = (t22 + 56U);
    t24 = *((char **)t23);
    *((unsigned char *)t24) = (unsigned char)3;
    xsi_driver_first_trans_fast(t18);
    xsi_set_current_line(200, ng0);
    t1 = (t0 + 7904);
    t2 = (t1 + 56U);
    t5 = *((char **)t2);
    t6 = (t5 + 56U);
    t7 = *((char **)t6);
    *((unsigned char *)t7) = (unsigned char)5;
    xsi_driver_first_trans_fast(t1);
    goto LAB63;

LAB65:    xsi_set_current_line(212, ng0);
    t1 = (t0 + 2792U);
    t6 = *((char **)t1);
    t13 = *((unsigned char *)t6);
    t1 = (char *)((nl1) + t13);
    goto **((char **)t1);

LAB67:    t1 = (t0 + 3112U);
    t5 = *((char **)t1);
    t11 = *((unsigned char *)t5);
    t12 = (t11 == (unsigned char)3);
    t3 = t12;
    goto LAB69;

LAB70:    goto LAB11;

LAB71:    xsi_set_current_line(214, ng0);
    t7 = (t0 + 3432U);
    t8 = *((char **)t7);
    t14 = *((unsigned char *)t8);
    t15 = (t14 == (unsigned char)2);
    if (t15 != 0)
        goto LAB77;

LAB79:
LAB78:    goto LAB70;

LAB72:    xsi_set_current_line(219, ng0);
    t1 = (t0 + 2472U);
    t2 = *((char **)t1);
    t4 = *((unsigned char *)t2);
    t10 = (t4 != (unsigned char)2);
    if (t10 == 1)
        goto LAB83;

LAB84:    t1 = (t0 + 2312U);
    t5 = *((char **)t1);
    t11 = *((unsigned char *)t5);
    t12 = (t11 == (unsigned char)3);
    t3 = t12;

LAB85:    if (t3 != 0)
        goto LAB80;

LAB82:
LAB81:    goto LAB70;

LAB73:    xsi_set_current_line(223, ng0);
    t1 = (t0 + 2472U);
    t2 = *((char **)t1);
    t3 = *((unsigned char *)t2);
    t1 = (t0 + 4392U);
    t5 = *((char **)t1);
    t27 = *((int *)t5);
    t28 = (t27 - 7);
    t26 = (t28 * -1);
    t29 = (1 * t26);
    t30 = (0U + t29);
    t1 = (t0 + 8480);
    t6 = (t1 + 56U);
    t7 = *((char **)t6);
    t8 = (t7 + 56U);
    t9 = *((char **)t8);
    *((unsigned char *)t9) = t3;
    xsi_driver_first_trans_delta(t1, t30, 1, 0LL);
    goto LAB70;

LAB74:    xsi_set_current_line(225, ng0);
    t1 = (t0 + 2472U);
    t2 = *((char **)t1);
    t4 = *((unsigned char *)t2);
    t10 = (t4 != (unsigned char)2);
    if (t10 == 1)
        goto LAB89;

LAB90:    t1 = (t0 + 2312U);
    t5 = *((char **)t1);
    t11 = *((unsigned char *)t5);
    t12 = (t11 == (unsigned char)3);
    t3 = t12;

LAB91:    if (t3 != 0)
        goto LAB86;

LAB88:
LAB87:    goto LAB70;

LAB75:    xsi_set_current_line(229, ng0);
    t1 = (t0 + 8032);
    t2 = (t1 + 56U);
    t5 = *((char **)t2);
    t6 = (t5 + 56U);
    t7 = *((char **)t6);
    *((unsigned char *)t7) = (unsigned char)2;
    xsi_driver_first_trans_fast(t1);
    goto LAB70;

LAB76:    xsi_set_current_line(231, ng0);
    goto LAB70;

LAB77:    xsi_set_current_line(215, ng0);
    t7 = (t0 + 8032);
    t9 = (t7 + 56U);
    t18 = *((char **)t9);
    t21 = (t18 + 56U);
    t22 = *((char **)t21);
    *((unsigned char *)t22) = (unsigned char)3;
    xsi_driver_first_trans_fast(t7);
    xsi_set_current_line(216, ng0);
    t1 = (t0 + 8160);
    t2 = (t1 + 56U);
    t5 = *((char **)t2);
    t6 = (t5 + 56U);
    t7 = *((char **)t6);
    *((unsigned char *)t7) = (unsigned char)2;
    xsi_driver_first_trans_fast_port(t1);
    goto LAB78;

LAB80:    xsi_set_current_line(220, ng0);
    t1 = (t0 + 8160);
    t6 = (t1 + 56U);
    t7 = *((char **)t6);
    t8 = (t7 + 56U);
    t9 = *((char **)t8);
    *((unsigned char *)t9) = (unsigned char)3;
    xsi_driver_first_trans_fast_port(t1);
    goto LAB81;

LAB83:    t3 = (unsigned char)1;
    goto LAB85;

LAB86:    xsi_set_current_line(226, ng0);
    t1 = (t0 + 8160);
    t6 = (t1 + 56U);
    t7 = *((char **)t6);
    t8 = (t7 + 56U);
    t9 = *((char **)t8);
    *((unsigned char *)t9) = (unsigned char)3;
    xsi_driver_first_trans_fast_port(t1);
    goto LAB87;

LAB89:    t3 = (unsigned char)1;
    goto LAB91;

}

static void work_a_0840906834_3665547200_p_2(char *t0)
{
    char *t1;
    char *t2;
    char *t3;
    unsigned char t4;
    char *t5;
    char *t6;
    unsigned char t7;
    char *t8;
    char *t9;
    char *t10;
    char *t11;
    static char *nl0[] = {&&LAB7, &&LAB5, &&LAB7, &&LAB7, &&LAB7, &&LAB7, &&LAB7, &&LAB7, &&LAB6};

LAB0:    t1 = (t0 + 6688U);
    t2 = *((char **)t1);
    if (t2 == 0)
        goto LAB2;

LAB3:    goto *t2;

LAB2:    xsi_set_current_line(238, ng0);
    t2 = (t0 + 2792U);
    t3 = *((char **)t2);
    t4 = *((unsigned char *)t3);
    t2 = (char *)((nl0) + t4);
    goto **((char **)t2);

LAB4:    xsi_set_current_line(238, ng0);

LAB10:    t2 = (t0 + 7536);
    *((int *)t2) = 1;
    *((char **)t1) = &&LAB11;

LAB1:    return;
LAB5:    xsi_set_current_line(239, ng0);
    t5 = (t0 + 3112U);
    t6 = *((char **)t5);
    t7 = *((unsigned char *)t6);
    t5 = (t0 + 8544);
    t8 = (t5 + 56U);
    t9 = *((char **)t8);
    t10 = (t9 + 56U);
    t11 = *((char **)t10);
    *((unsigned char *)t11) = t7;
    xsi_driver_first_trans_fast(t5);
    goto LAB4;

LAB6:    xsi_set_current_line(239, ng0);
    t2 = (t0 + 3112U);
    t3 = *((char **)t2);
    t4 = *((unsigned char *)t3);
    t7 = ieee_p_2592010699_sub_1690584930_503743352(IEEE_P_2592010699, t4);
    t2 = (t0 + 8544);
    t5 = (t2 + 56U);
    t6 = *((char **)t5);
    t8 = (t6 + 56U);
    t9 = *((char **)t8);
    *((unsigned char *)t9) = t7;
    xsi_driver_first_trans_fast(t2);
    goto LAB4;

LAB7:    xsi_set_current_line(239, ng0);
    t2 = (t0 + 3592U);
    t3 = *((char **)t2);
    t4 = *((unsigned char *)t3);
    t2 = (t0 + 8544);
    t5 = (t2 + 56U);
    t6 = *((char **)t5);
    t8 = (t6 + 56U);
    t9 = *((char **)t8);
    *((unsigned char *)t9) = t4;
    xsi_driver_first_trans_fast(t2);
    goto LAB4;

LAB8:    t3 = (t0 + 7536);
    *((int *)t3) = 0;
    goto LAB2;

LAB9:    goto LAB8;

LAB11:    goto LAB9;

}

static void work_a_0840906834_3665547200_p_3(char *t0)
{
    unsigned char t1;
    char *t2;
    char *t3;
    unsigned char t4;
    unsigned char t5;
    char *t6;
    unsigned char t7;
    unsigned char t8;
    char *t9;
    char *t10;
    char *t11;
    char *t12;
    char *t13;
    char *t14;
    char *t15;
    char *t16;
    char *t17;
    char *t18;

LAB0:    xsi_set_current_line(244, ng0);
    t2 = (t0 + 3432U);
    t3 = *((char **)t2);
    t4 = *((unsigned char *)t3);
    t5 = (t4 == (unsigned char)3);
    if (t5 == 1)
        goto LAB5;

LAB6:    t1 = (unsigned char)0;

LAB7:    if (t1 != 0)
        goto LAB3;

LAB4:
LAB8:    t13 = (t0 + 8608);
    t14 = (t13 + 56U);
    t15 = *((char **)t14);
    t16 = (t15 + 56U);
    t17 = *((char **)t16);
    *((unsigned char *)t17) = (unsigned char)4;
    xsi_driver_first_trans_fast_port(t13);

LAB2:    t18 = (t0 + 7552);
    *((int *)t18) = 1;

LAB1:    return;
LAB3:    t2 = (t0 + 8608);
    t9 = (t2 + 56U);
    t10 = *((char **)t9);
    t11 = (t10 + 56U);
    t12 = *((char **)t11);
    *((unsigned char *)t12) = (unsigned char)2;
    xsi_driver_first_trans_fast_port(t2);
    goto LAB2;

LAB5:    t2 = (t0 + 3272U);
    t6 = *((char **)t2);
    t7 = *((unsigned char *)t6);
    t8 = (t7 == (unsigned char)2);
    t1 = t8;
    goto LAB7;

LAB9:    goto LAB2;

}

static void work_a_0840906834_3665547200_p_4(char *t0)
{
    char *t1;
    char *t2;
    unsigned char t3;
    unsigned char t4;
    char *t5;
    char *t6;
    char *t7;
    char *t8;
    char *t9;
    char *t10;
    char *t11;
    char *t12;
    char *t13;
    char *t14;

LAB0:    xsi_set_current_line(245, ng0);
    t1 = (t0 + 3752U);
    t2 = *((char **)t1);
    t3 = *((unsigned char *)t2);
    t4 = (t3 == (unsigned char)2);
    if (t4 != 0)
        goto LAB3;

LAB4:
LAB5:    t9 = (t0 + 8672);
    t10 = (t9 + 56U);
    t11 = *((char **)t10);
    t12 = (t11 + 56U);
    t13 = *((char **)t12);
    *((unsigned char *)t13) = (unsigned char)4;
    xsi_driver_first_trans_fast_port(t9);

LAB2:    t14 = (t0 + 7568);
    *((int *)t14) = 1;

LAB1:    return;
LAB3:    t1 = (t0 + 8672);
    t5 = (t1 + 56U);
    t6 = *((char **)t5);
    t7 = (t6 + 56U);
    t8 = *((char **)t7);
    *((unsigned char *)t8) = (unsigned char)2;
    xsi_driver_first_trans_fast_port(t1);
    goto LAB2;

LAB6:    goto LAB2;

}
コード例 #4
0
static void work_a_3518005091_3212880686_p_0(char *t0)
{
    char t10[16];
    char t12[16];
    char *t1;
    char *t2;
    unsigned char t3;
    unsigned char t4;
    char *t5;
    unsigned int t6;
    unsigned int t7;
    unsigned int t8;
    char *t9;
    char *t11;
    char *t13;
    char *t14;
    int t15;
    unsigned int t16;
    char *t17;
    char *t18;

LAB0:
    xsi_set_current_line(44, ng0);
    t1 = (t0 + 1032U);
    t2 = *((char **)t1);
    t3 = *((unsigned char *)t2);
    t4 = (t3 == (unsigned char)3);
    if (t4 != 0)
        goto LAB2;

LAB4:
    xsi_set_current_line(53, ng0);
    t1 = (t0 + 1928U);
    t2 = *((char **)t1);
    t1 = (t0 + 1928U);
    t5 = *((char **)t1);
    t1 = (t5 + 0);
    memcpy(t1, t2, 9U);

LAB3:
    xsi_set_current_line(55, ng0);
    t1 = (t0 + 1928U);
    t2 = *((char **)t1);
    t6 = (8 - 7);
    t7 = (t6 * 1U);
    t8 = (0 + t7);
    t1 = (t2 + t8);
    t5 = (t0 + 3552);
    t9 = (t5 + 56U);
    t11 = *((char **)t9);
    t13 = (t11 + 56U);
    t14 = *((char **)t13);
    memcpy(t14, t1, 8U);
    xsi_driver_first_trans_fast_port(t5);
    t1 = (t0 + 3472);
    *((int *)t1) = 1;

LAB1:
    return;
LAB2:
    xsi_set_current_line(46, ng0);
    t1 = (t0 + 1192U);
    t5 = *((char **)t1);
    t6 = (31 - 30);
    t7 = (t6 * 1U);
    t8 = (0 + t7);
    t1 = (t5 + t8);
    t11 = ((IEEE_P_2592010699) + 4024);
    t13 = (t12 + 0U);
    t14 = (t13 + 0U);
    *((int *)t14) = 30;
    t14 = (t13 + 4U);
    *((int *)t14) = 23;
    t14 = (t13 + 8U);
    *((int *)t14) = -1;
    t15 = (23 - 30);
    t16 = (t15 * -1);
    t16 = (t16 + 1);
    t14 = (t13 + 12U);
    *((unsigned int *)t14) = t16;
    t9 = xsi_base_array_concat(t9, t10, t11, (char)99, (unsigned char)2, (char)97, t1, t12, (char)101);
    t14 = (t0 + 2048U);
    t17 = *((char **)t14);
    t14 = (t17 + 0);
    t16 = (1U + 8U);
    memcpy(t14, t9, t16);
    xsi_set_current_line(48, ng0);
    t1 = (t0 + 1352U);
    t2 = *((char **)t1);
    t6 = (31 - 30);
    t7 = (t6 * 1U);
    t8 = (0 + t7);
    t1 = (t2 + t8);
    t9 = ((IEEE_P_2592010699) + 4024);
    t11 = (t12 + 0U);
    t13 = (t11 + 0U);
    *((int *)t13) = 30;
    t13 = (t11 + 4U);
    *((int *)t13) = 23;
    t13 = (t11 + 8U);
    *((int *)t13) = -1;
    t15 = (23 - 30);
    t16 = (t15 * -1);
    t16 = (t16 + 1);
    t13 = (t11 + 12U);
    *((unsigned int *)t13) = t16;
    t5 = xsi_base_array_concat(t5, t10, t9, (char)99, (unsigned char)2, (char)97, t1, t12, (char)101);
    t13 = (t0 + 2168U);
    t14 = *((char **)t13);
    t13 = (t14 + 0);
    t16 = (1U + 8U);
    memcpy(t13, t5, t16);
    xsi_set_current_line(49, ng0);
    t1 = (t0 + 2048U);
    t2 = *((char **)t1);
    t1 = (t0 + 5380U);
    t5 = (t0 + 2168U);
    t9 = *((char **)t5);
    t5 = (t0 + 5380U);
    t11 = ieee_p_3620187407_sub_767740470_3965413181(IEEE_P_3620187407, t10, t2, t1, t9, t5);
    t13 = (t0 + 1808U);
    t14 = *((char **)t13);
    t13 = (t14 + 0);
    t17 = (t10 + 12U);
    t6 = *((unsigned int *)t17);
    t7 = (1U * t6);
    memcpy(t13, t11, t7);
    xsi_set_current_line(50, ng0);
    t1 = (t0 + 1808U);
    t2 = *((char **)t1);
    t1 = (t0 + 5380U);
    t5 = (t0 + 5505);
    t11 = (t12 + 0U);
    t13 = (t11 + 0U);
    *((int *)t13) = 0;
    t13 = (t11 + 4U);
    *((int *)t13) = 8;
    t13 = (t11 + 8U);
    *((int *)t13) = 1;
    t15 = (8 - 0);
    t6 = (t15 * 1);
    t6 = (t6 + 1);
    t13 = (t11 + 12U);
    *((unsigned int *)t13) = t6;
    t13 = ieee_p_3620187407_sub_767668596_3965413181(IEEE_P_3620187407, t10, t2, t1, t5, t12);
    t14 = (t0 + 1928U);
    t17 = *((char **)t14);
    t14 = (t17 + 0);
    t18 = (t10 + 12U);
    t6 = *((unsigned int *)t18);
    t7 = (1U * t6);
    memcpy(t14, t13, t7);
    goto LAB3;

}
コード例 #5
0
static void design_a_3152274375_2372691052_p_2(char *t0)
{
    char t30[16];
    char t32[16];
    char *t1;
    char *t2;
    unsigned char t3;
    unsigned char t4;
    char *t5;
    char *t6;
    char *t7;
    char *t8;
    char *t9;
    char *t10;
    int t11;
    int t12;
    int t13;
    int t14;
    int t15;
    int t16;
    char *t17;
    int t18;
    char *t19;
    int t20;
    char *t21;
    int t22;
    char *t23;
    int t24;
    char *t25;
    int t26;
    char *t28;
    char *t29;
    char *t31;
    char *t33;
    char *t34;
    int t35;
    unsigned int t36;
    char *t37;
    char *t38;
    char *t39;
    char *t40;
    char *t41;
    unsigned int t42;
    unsigned int t43;
    unsigned char t44;

LAB0:    xsi_set_current_line(114, ng0);
    t1 = (t0 + 5192U);
    t2 = *((char **)t1);
    t3 = *((unsigned char *)t2);
    t4 = (t3 == (unsigned char)3);
    if (t4 != 0)
        goto LAB2;

LAB4:
LAB3:    t1 = (t0 + 9264);
    *((int *)t1) = 1;

LAB1:    return;
LAB2:    xsi_set_current_line(115, ng0);
    t1 = xsi_get_transient_memory(8U);
    memset(t1, 0, 8U);
    t5 = t1;
    memset(t5, (unsigned char)2, 8U);
    t6 = (t0 + 9648);
    t7 = (t6 + 56U);
    t8 = *((char **)t7);
    t9 = (t8 + 56U);
    t10 = *((char **)t9);
    memcpy(t10, t1, 8U);
    xsi_driver_first_trans_fast_port(t6);
    xsi_set_current_line(116, ng0);
    t1 = (t0 + 1032U);
    t2 = *((char **)t1);
    t1 = (t0 + 5488U);
    t5 = *((char **)t1);
    t11 = xsi_mem_cmp(t5, t2, 4U);
    if (t11 == 1)
        goto LAB6;

LAB18:    t1 = (t0 + 5608U);
    t6 = *((char **)t1);
    t12 = xsi_mem_cmp(t6, t2, 4U);
    if (t12 == 1)
        goto LAB7;

LAB19:    t1 = (t0 + 5728U);
    t7 = *((char **)t1);
    t13 = xsi_mem_cmp(t7, t2, 4U);
    if (t13 == 1)
        goto LAB8;

LAB20:    t1 = (t0 + 5848U);
    t8 = *((char **)t1);
    t14 = xsi_mem_cmp(t8, t2, 4U);
    if (t14 == 1)
        goto LAB9;

LAB21:    t1 = (t0 + 5968U);
    t9 = *((char **)t1);
    t15 = xsi_mem_cmp(t9, t2, 4U);
    if (t15 == 1)
        goto LAB10;

LAB22:    t1 = (t0 + 6088U);
    t10 = *((char **)t1);
    t16 = xsi_mem_cmp(t10, t2, 4U);
    if (t16 == 1)
        goto LAB11;

LAB23:    t1 = (t0 + 6208U);
    t17 = *((char **)t1);
    t18 = xsi_mem_cmp(t17, t2, 4U);
    if (t18 == 1)
        goto LAB12;

LAB24:    t1 = (t0 + 6328U);
    t19 = *((char **)t1);
    t20 = xsi_mem_cmp(t19, t2, 4U);
    if (t20 == 1)
        goto LAB13;

LAB25:    t1 = (t0 + 6448U);
    t21 = *((char **)t1);
    t22 = xsi_mem_cmp(t21, t2, 4U);
    if (t22 == 1)
        goto LAB14;

LAB26:    t1 = (t0 + 6568U);
    t23 = *((char **)t1);
    t24 = xsi_mem_cmp(t23, t2, 4U);
    if (t24 == 1)
        goto LAB15;

LAB27:    t1 = (t0 + 6688U);
    t25 = *((char **)t1);
    t26 = xsi_mem_cmp(t25, t2, 4U);
    if (t26 == 1)
        goto LAB16;

LAB28:
LAB17:    xsi_set_current_line(143, ng0);

LAB5:    goto LAB3;

LAB6:    xsi_set_current_line(118, ng0);
    t1 = (t0 + 17126);
    t28 = (t0 + 3752U);
    t29 = *((char **)t28);
    t31 = ((IEEE_P_2592010699) + 4024);
    t33 = (t32 + 0U);
    t34 = (t33 + 0U);
    *((int *)t34) = 0;
    t34 = (t33 + 4U);
    *((int *)t34) = 1;
    t34 = (t33 + 8U);
    *((int *)t34) = 1;
    t35 = (1 - 0);
    t36 = (t35 * 1);
    t36 = (t36 + 1);
    t34 = (t33 + 12U);
    *((unsigned int *)t34) = t36;
    t34 = (t0 + 16740U);
    t28 = xsi_base_array_concat(t28, t30, t31, (char)97, t1, t32, (char)97, t29, t34, (char)101);
    t36 = (2U + 6U);
    t3 = (8U != t36);
    if (t3 == 1)
        goto LAB30;

LAB31:    t37 = (t0 + 9648);
    t38 = (t37 + 56U);
    t39 = *((char **)t38);
    t40 = (t39 + 56U);
    t41 = *((char **)t40);
    memcpy(t41, t28, 8U);
    xsi_driver_first_trans_fast_port(t37);
    goto LAB5;

LAB7:    xsi_set_current_line(120, ng0);
    t1 = (t0 + 3912U);
    t2 = *((char **)t1);
    t1 = (t0 + 9648);
    t5 = (t1 + 56U);
    t6 = *((char **)t5);
    t7 = (t6 + 56U);
    t8 = *((char **)t7);
    memcpy(t8, t2, 8U);
    xsi_driver_first_trans_fast_port(t1);
    xsi_set_current_line(121, ng0);
    t1 = (t0 + 1352U);
    t2 = *((char **)t1);
    t3 = *((unsigned char *)t2);
    t1 = (t0 + 3912U);
    t5 = *((char **)t1);
    t11 = (4 - 7);
    t36 = (t11 * -1);
    t42 = (1U * t36);
    t43 = (0 + t42);
    t1 = (t5 + t43);
    t4 = *((unsigned char *)t1);
    t44 = ieee_p_2592010699_sub_853553178_503743352(IEEE_P_2592010699, t3, t4);
    t6 = (t0 + 9648);
    t7 = (t6 + 56U);
    t8 = *((char **)t7);
    t9 = (t8 + 56U);
    t10 = *((char **)t9);
    *((unsigned char *)t10) = t44;
    xsi_driver_first_trans_delta(t6, 0U, 1, 0LL);
    xsi_set_current_line(122, ng0);
    t1 = (t0 + 1512U);
    t2 = *((char **)t1);
    t3 = *((unsigned char *)t2);
    t1 = (t0 + 3912U);
    t5 = *((char **)t1);
    t11 = (3 - 7);
    t36 = (t11 * -1);
    t42 = (1U * t36);
    t43 = (0 + t42);
    t1 = (t5 + t43);
    t4 = *((unsigned char *)t1);
    t44 = ieee_p_2592010699_sub_853553178_503743352(IEEE_P_2592010699, t3, t4);
    t6 = (t0 + 9648);
    t7 = (t6 + 56U);
    t8 = *((char **)t7);
    t9 = (t8 + 56U);
    t10 = *((char **)t9);
    *((unsigned char *)t10) = t44;
    xsi_driver_first_trans_delta(t6, 1U, 1, 0LL);
    xsi_set_current_line(123, ng0);
    t1 = (t0 + 1672U);
    t2 = *((char **)t1);
    t3 = *((unsigned char *)t2);
    t1 = (t0 + 3912U);
    t5 = *((char **)t1);
    t11 = (2 - 7);
    t36 = (t11 * -1);
    t42 = (1U * t36);
    t43 = (0 + t42);
    t1 = (t5 + t43);
    t4 = *((unsigned char *)t1);
    t44 = ieee_p_2592010699_sub_853553178_503743352(IEEE_P_2592010699, t3, t4);
    t6 = (t0 + 9648);
    t7 = (t6 + 56U);
    t8 = *((char **)t7);
    t9 = (t8 + 56U);
    t10 = *((char **)t9);
    *((unsigned char *)t10) = t44;
    xsi_driver_first_trans_delta(t6, 2U, 1, 0LL);
    goto LAB5;

LAB8:    xsi_set_current_line(125, ng0);
    t1 = (t0 + 4552U);
    t2 = *((char **)t1);
    t36 = (15 - 7);
    t42 = (t36 * 1U);
    t43 = (0 + t42);
    t1 = (t2 + t43);
    t5 = (t0 + 9648);
    t6 = (t5 + 56U);
    t7 = *((char **)t6);
    t8 = (t7 + 56U);
    t9 = *((char **)t8);
    memcpy(t9, t1, 8U);
    xsi_driver_first_trans_fast_port(t5);
    goto LAB5;

LAB9:    xsi_set_current_line(127, ng0);
    t1 = (t0 + 4552U);
    t2 = *((char **)t1);
    t36 = (15 - 15);
    t42 = (t36 * 1U);
    t43 = (0 + t42);
    t1 = (t2 + t43);
    t5 = (t0 + 9648);
    t6 = (t5 + 56U);
    t7 = *((char **)t6);
    t8 = (t7 + 56U);
    t9 = *((char **)t8);
    memcpy(t9, t1, 8U);
    xsi_driver_first_trans_fast_port(t5);
    goto LAB5;

LAB10:    xsi_set_current_line(129, ng0);
    t1 = (t0 + 17128);
    t5 = (t0 + 3112U);
    t6 = *((char **)t5);
    t7 = ((IEEE_P_2592010699) + 4024);
    t8 = (t32 + 0U);
    t9 = (t8 + 0U);
    *((int *)t9) = 0;
    t9 = (t8 + 4U);
    *((int *)t9) = 3;
    t9 = (t8 + 8U);
    *((int *)t9) = 1;
    t11 = (3 - 0);
    t36 = (t11 * 1);
    t36 = (t36 + 1);
    t9 = (t8 + 12U);
    *((unsigned int *)t9) = t36;
    t9 = (t0 + 16676U);
    t5 = xsi_base_array_concat(t5, t30, t7, (char)97, t1, t32, (char)97, t6, t9, (char)101);
    t36 = (4U + 4U);
    t3 = (8U != t36);
    if (t3 == 1)
        goto LAB32;

LAB33:    t10 = (t0 + 9648);
    t17 = (t10 + 56U);
    t19 = *((char **)t17);
    t21 = (t19 + 56U);
    t23 = *((char **)t21);
    memcpy(t23, t5, 8U);
    xsi_driver_first_trans_fast_port(t10);
    goto LAB5;

LAB11:    xsi_set_current_line(131, ng0);
    t1 = (t0 + 17132);
    t5 = (t0 + 3272U);
    t6 = *((char **)t5);
    t7 = ((IEEE_P_2592010699) + 4024);
    t8 = (t32 + 0U);
    t9 = (t8 + 0U);
    *((int *)t9) = 0;
    t9 = (t8 + 4U);
    *((int *)t9) = 1;
    t9 = (t8 + 8U);
    *((int *)t9) = 1;
    t11 = (1 - 0);
    t36 = (t11 * 1);
    t36 = (t36 + 1);
    t9 = (t8 + 12U);
    *((unsigned int *)t9) = t36;
    t9 = (t0 + 16692U);
    t5 = xsi_base_array_concat(t5, t30, t7, (char)97, t1, t32, (char)97, t6, t9, (char)101);
    t36 = (2U + 6U);
    t3 = (8U != t36);
    if (t3 == 1)
        goto LAB34;

LAB35:    t10 = (t0 + 9648);
    t17 = (t10 + 56U);
    t19 = *((char **)t17);
    t21 = (t19 + 56U);
    t23 = *((char **)t21);
    memcpy(t23, t5, 8U);
    xsi_driver_first_trans_fast_port(t10);
    goto LAB5;

LAB12:    xsi_set_current_line(133, ng0);
    t1 = (t0 + 17134);
    t5 = (t0 + 3432U);
    t6 = *((char **)t5);
    t7 = ((IEEE_P_2592010699) + 4024);
    t8 = (t32 + 0U);
    t9 = (t8 + 0U);
    *((int *)t9) = 0;
    t9 = (t8 + 4U);
    *((int *)t9) = 0;
    t9 = (t8 + 8U);
    *((int *)t9) = 1;
    t11 = (0 - 0);
    t36 = (t11 * 1);
    t36 = (t36 + 1);
    t9 = (t8 + 12U);
    *((unsigned int *)t9) = t36;
    t9 = (t0 + 16708U);
    t5 = xsi_base_array_concat(t5, t30, t7, (char)97, t1, t32, (char)97, t6, t9, (char)101);
    t36 = (1U + 7U);
    t3 = (8U != t36);
    if (t3 == 1)
        goto LAB36;

LAB37:    t10 = (t0 + 9648);
    t17 = (t10 + 56U);
    t19 = *((char **)t17);
    t21 = (t19 + 56U);
    t23 = *((char **)t21);
    memcpy(t23, t5, 8U);
    xsi_driver_first_trans_fast_port(t10);
    goto LAB5;

LAB13:    xsi_set_current_line(135, ng0);
    t1 = (t0 + 17135);
    t5 = (t0 + 2792U);
    t6 = *((char **)t5);
    t7 = ((IEEE_P_2592010699) + 4024);
    t8 = (t32 + 0U);
    t9 = (t8 + 0U);
    *((int *)t9) = 0;
    t9 = (t8 + 4U);
    *((int *)t9) = 1;
    t9 = (t8 + 8U);
    *((int *)t9) = 1;
    t11 = (1 - 0);
    t36 = (t11 * 1);
    t36 = (t36 + 1);
    t9 = (t8 + 12U);
    *((unsigned int *)t9) = t36;
    t9 = (t0 + 16644U);
    t5 = xsi_base_array_concat(t5, t30, t7, (char)97, t1, t32, (char)97, t6, t9, (char)101);
    t36 = (2U + 6U);
    t3 = (8U != t36);
    if (t3 == 1)
        goto LAB38;

LAB39:    t10 = (t0 + 9648);
    t17 = (t10 + 56U);
    t19 = *((char **)t17);
    t21 = (t19 + 56U);
    t23 = *((char **)t21);
    memcpy(t23, t5, 8U);
    xsi_driver_first_trans_fast_port(t10);
    goto LAB5;

LAB14:    xsi_set_current_line(137, ng0);
    t1 = (t0 + 17137);
    t5 = (t0 + 2952U);
    t6 = *((char **)t5);
    t7 = ((IEEE_P_2592010699) + 4024);
    t8 = (t32 + 0U);
    t9 = (t8 + 0U);
    *((int *)t9) = 0;
    t9 = (t8 + 4U);
    *((int *)t9) = 4;
    t9 = (t8 + 8U);
    *((int *)t9) = 1;
    t11 = (4 - 0);
    t36 = (t11 * 1);
    t36 = (t36 + 1);
    t9 = (t8 + 12U);
    *((unsigned int *)t9) = t36;
    t9 = (t0 + 16660U);
    t5 = xsi_base_array_concat(t5, t30, t7, (char)97, t1, t32, (char)97, t6, t9, (char)101);
    t36 = (5U + 3U);
    t3 = (8U != t36);
    if (t3 == 1)
        goto LAB40;

LAB41:    t10 = (t0 + 9648);
    t17 = (t10 + 56U);
    t19 = *((char **)t17);
    t21 = (t19 + 56U);
    t23 = *((char **)t21);
    memcpy(t23, t5, 8U);
    xsi_driver_first_trans_fast_port(t10);
    goto LAB5;

LAB15:    xsi_set_current_line(139, ng0);
    t1 = (t0 + 17142);
    t5 = (t0 + 3592U);
    t6 = *((char **)t5);
    t7 = ((IEEE_P_2592010699) + 4024);
    t8 = (t32 + 0U);
    t9 = (t8 + 0U);
    *((int *)t9) = 0;
    t9 = (t8 + 4U);
    *((int *)t9) = 1;
    t9 = (t8 + 8U);
    *((int *)t9) = 1;
    t11 = (1 - 0);
    t36 = (t11 * 1);
    t36 = (t36 + 1);
    t9 = (t8 + 12U);
    *((unsigned int *)t9) = t36;
    t9 = (t0 + 16724U);
    t5 = xsi_base_array_concat(t5, t30, t7, (char)97, t1, t32, (char)97, t6, t9, (char)101);
    t36 = (2U + 6U);
    t3 = (8U != t36);
    if (t3 == 1)
        goto LAB42;

LAB43:    t10 = (t0 + 9648);
    t17 = (t10 + 56U);
    t19 = *((char **)t17);
    t21 = (t19 + 56U);
    t23 = *((char **)t21);
    memcpy(t23, t5, 8U);
    xsi_driver_first_trans_fast_port(t10);
    goto LAB5;

LAB16:    xsi_set_current_line(141, ng0);
    t1 = (t0 + 4072U);
    t2 = *((char **)t1);
    t1 = (t0 + 9648);
    t5 = (t1 + 56U);
    t6 = *((char **)t5);
    t7 = (t6 + 56U);
    t8 = *((char **)t7);
    memcpy(t8, t2, 8U);
    xsi_driver_first_trans_fast_port(t1);
    goto LAB5;

LAB29:;
LAB30:    xsi_size_not_matching(8U, t36, 0);
    goto LAB31;

LAB32:    xsi_size_not_matching(8U, t36, 0);
    goto LAB33;

LAB34:    xsi_size_not_matching(8U, t36, 0);
    goto LAB35;

LAB36:    xsi_size_not_matching(8U, t36, 0);
    goto LAB37;

LAB38:    xsi_size_not_matching(8U, t36, 0);
    goto LAB39;

LAB40:    xsi_size_not_matching(8U, t36, 0);
    goto LAB41;

LAB42:    xsi_size_not_matching(8U, t36, 0);
    goto LAB43;

}
コード例 #6
0
static void work_a_3853796236_0781640033_p_0(char *t0)
{
    char t11[16];
    char t17[16];
    unsigned char t1;
    char *t2;
    unsigned char t3;
    char *t4;
    char *t5;
    unsigned char t6;
    unsigned char t7;
    char *t8;
    unsigned char t9;
    unsigned char t10;
    char *t12;
    int t13;
    unsigned int t14;
    unsigned int t15;
    unsigned int t16;
    char *t18;
    char *t19;
    int t20;
    unsigned int t21;
    char *t22;
    char *t23;
    char *t24;
    char *t25;
    char *t26;
    unsigned int t27;
    unsigned int t28;

LAB0:    xsi_set_current_line(55, ng0);
    t2 = (t0 + 1792U);
    t3 = xsi_signal_has_event(t2);
    if (t3 == 1)
        goto LAB5;

LAB6:    t1 = (unsigned char)0;

LAB7:    if (t1 != 0)
        goto LAB2;

LAB4:
LAB3:    t2 = (t0 + 3672);
    *((int *)t2) = 1;

LAB1:    return;
LAB2:    xsi_set_current_line(56, ng0);
    t4 = (t0 + 1032U);
    t8 = *((char **)t4);
    t9 = *((unsigned char *)t8);
    t10 = (t9 == (unsigned char)3);
    if (t10 != 0)
        goto LAB8;

LAB10:    xsi_set_current_line(63, ng0);
    t2 = xsi_get_transient_memory(33U);
    memset(t2, 0, 33U);
    t4 = t2;
    memset(t4, (unsigned char)2, 33U);
    t5 = (t0 + 2368U);
    t8 = *((char **)t5);
    t13 = (2 * 32);
    t14 = (64 - t13);
    t15 = (t14 * 1U);
    t16 = (0 + t15);
    t5 = (t8 + t16);
    memcpy(t5, t2, 33U);
    xsi_set_current_line(64, ng0);
    t2 = (t0 + 1672U);
    t4 = *((char **)t2);
    t2 = (t0 + 2368U);
    t5 = *((char **)t2);
    t13 = (32 - 1);
    t14 = (64 - t13);
    t15 = (t14 * 1U);
    t16 = (0 + t15);
    t2 = (t5 + t16);
    memcpy(t2, t4, 32U);

LAB9:    xsi_set_current_line(66, ng0);
    t2 = (t0 + 2368U);
    t4 = *((char **)t2);
    t13 = (2 * 32);
    t20 = (t13 - 1);
    t14 = (64 - t20);
    t15 = (t14 * 1U);
    t16 = (0 + t15);
    t2 = (t4 + t16);
    t5 = (t0 + 3752);
    t8 = (t5 + 56U);
    t12 = *((char **)t8);
    t18 = (t12 + 56U);
    t19 = *((char **)t18);
    memcpy(t19, t2, 32U);
    xsi_driver_first_trans_fast_port(t5);
    xsi_set_current_line(67, ng0);
    t2 = (t0 + 2368U);
    t4 = *((char **)t2);
    t13 = (32 - 1);
    t14 = (64 - t13);
    t15 = (t14 * 1U);
    t16 = (0 + t15);
    t2 = (t4 + t16);
    t5 = (t0 + 3816);
    t8 = (t5 + 56U);
    t12 = *((char **)t8);
    t18 = (t12 + 56U);
    t19 = *((char **)t18);
    memcpy(t19, t2, 32U);
    xsi_driver_first_trans_fast_port(t5);
    goto LAB3;

LAB5:    t4 = (t0 + 1832U);
    t5 = *((char **)t4);
    t6 = *((unsigned char *)t5);
    t7 = (t6 == (unsigned char)3);
    t1 = t7;
    goto LAB7;

LAB8:    xsi_set_current_line(57, ng0);
    t4 = (t0 + 2368U);
    t12 = *((char **)t4);
    t13 = (2 * 32);
    t14 = (64 - t13);
    t15 = (t14 * 1U);
    t16 = (0 + t15);
    t4 = (t12 + t16);
    t18 = (t17 + 0U);
    t19 = (t18 + 0U);
    *((int *)t19) = 64;
    t19 = (t18 + 4U);
    *((int *)t19) = 32;
    t19 = (t18 + 8U);
    *((int *)t19) = -1;
    t20 = (32 - 64);
    t21 = (t20 * -1);
    t21 = (t21 + 1);
    t19 = (t18 + 12U);
    *((unsigned int *)t19) = t21;
    t19 = (t0 + 1512U);
    t22 = *((char **)t19);
    t19 = (t0 + 5760U);
    t23 = ieee_p_3620187407_sub_767668596_3965413181(IEEE_P_3620187407, t11, t4, t17, t22, t19);
    t24 = (t0 + 2248U);
    t25 = *((char **)t24);
    t24 = (t25 + 0);
    t26 = (t11 + 12U);
    t21 = *((unsigned int *)t26);
    t27 = (1U * t21);
    memcpy(t24, t23, t27);
    xsi_set_current_line(58, ng0);
    t2 = (t0 + 2368U);
    t4 = *((char **)t2);
    t13 = (0 - 64);
    t14 = (t13 * -1);
    t15 = (1U * t14);
    t16 = (0 + t15);
    t2 = (t4 + t16);
    t1 = *((unsigned char *)t2);
    t3 = (t1 == (unsigned char)3);
    if (t3 != 0)
        goto LAB11;

LAB13:
LAB12:    xsi_set_current_line(61, ng0);
    t2 = (t0 + 2368U);
    t4 = *((char **)t2);
    t13 = (32 * 2);
    t14 = (64 - t13);
    t15 = (t14 * 1U);
    t16 = (0 + t15);
    t2 = (t4 + t16);
    t8 = ((IEEE_P_2592010699) + 4024);
    t12 = (t17 + 0U);
    t18 = (t12 + 0U);
    *((int *)t18) = 64;
    t18 = (t12 + 4U);
    *((int *)t18) = 1;
    t18 = (t12 + 8U);
    *((int *)t18) = -1;
    t20 = (1 - 64);
    t21 = (t20 * -1);
    t21 = (t21 + 1);
    t18 = (t12 + 12U);
    *((unsigned int *)t18) = t21;
    t5 = xsi_base_array_concat(t5, t11, t8, (char)99, (unsigned char)2, (char)97, t2, t17, (char)101);
    t18 = (t0 + 2368U);
    t19 = *((char **)t18);
    t18 = (t19 + 0);
    t21 = (1U + 64U);
    memcpy(t18, t5, t21);
    goto LAB9;

LAB11:    xsi_set_current_line(59, ng0);
    t5 = (t0 + 2248U);
    t8 = *((char **)t5);
    t5 = (t0 + 2368U);
    t12 = *((char **)t5);
    t20 = (32 * 2);
    t21 = (64 - t20);
    t27 = (t21 * 1U);
    t28 = (0 + t27);
    t5 = (t12 + t28);
    memcpy(t5, t8, 33U);
    goto LAB12;

}
コード例 #7
0
static void microblaze_v8_20_b_a_2483669771_3306564128_p_10(char *t0)
{
    char t6[16];
    char t11[16];
    char t19[16];
    char t21[16];
    char *t1;
    char *t2;
    unsigned char t3;
    char *t4;
    unsigned char t5;
    char *t7;
    char *t8;
    char *t9;
    unsigned char t10;
    char *t12;
    char *t13;
    char *t14;
    unsigned int t15;
    unsigned int t16;
    unsigned int t17;
    char *t18;
    char *t20;
    char *t22;
    char *t23;
    int t24;
    unsigned int t25;
    unsigned int t26;
    unsigned int t27;
    unsigned char t28;
    char *t29;
    char *t30;
    char *t31;
    char *t32;
    char *t33;

LAB0:    xsi_set_current_line(653, ng0);

LAB3:    t1 = (t0 + 4808U);
    t2 = *((char **)t1);
    t3 = *((unsigned char *)t2);
    t1 = (t0 + 4808U);
    t4 = *((char **)t1);
    t5 = *((unsigned char *)t4);
    t7 = ((IEEE_P_2592010699) + 4024);
    t1 = xsi_base_array_concat(t1, t6, t7, (char)99, t3, (char)99, t5, (char)101);
    t8 = (t0 + 4808U);
    t9 = *((char **)t8);
    t10 = *((unsigned char *)t9);
    t12 = ((IEEE_P_2592010699) + 4024);
    t8 = xsi_base_array_concat(t8, t11, t12, (char)97, t1, t6, (char)99, t10, (char)101);
    t13 = (t0 + 3368U);
    t14 = *((char **)t13);
    t15 = (0 - 0);
    t16 = (t15 * 1U);
    t17 = (0 + t16);
    t13 = (t14 + t17);
    t20 = ((IEEE_P_2592010699) + 4024);
    t22 = (t21 + 0U);
    t23 = (t22 + 0U);
    *((int *)t23) = 0;
    t23 = (t22 + 4U);
    *((int *)t23) = 14;
    t23 = (t22 + 8U);
    *((int *)t23) = 1;
    t24 = (14 - 0);
    t25 = (t24 * 1);
    t25 = (t25 + 1);
    t23 = (t22 + 12U);
    *((unsigned int *)t23) = t25;
    t18 = xsi_base_array_concat(t18, t19, t20, (char)97, t8, t11, (char)97, t13, t21, (char)101);
    t25 = (1U + 1U);
    t26 = (t25 + 1U);
    t27 = (t26 + 15U);
    t28 = (18U != t27);
    if (t28 == 1)
        goto LAB5;

LAB6:    t23 = (t0 + 18592);
    t29 = (t23 + 56U);
    t30 = *((char **)t29);
    t31 = (t30 + 56U);
    t32 = *((char **)t31);
    memcpy(t32, t18, 18U);
    xsi_driver_first_trans_fast(t23);

LAB2:    t33 = (t0 + 17824);
    *((int *)t33) = 1;

LAB1:    return;
LAB4:    goto LAB2;

LAB5:    xsi_size_not_matching(18U, t27, 0);
    goto LAB6;

}
コード例 #8
0
static void axi_vdma_v5_00_a_a_2706918730_3640575771_p_10(char *t0)
{
    char t4[16];
    char t10[16];
    char t15[16];
    char t20[16];
    char t25[16];
    char t30[16];
    char t35[16];
    char *t1;
    char *t2;
    char *t3;
    char *t5;
    char *t6;
    char *t7;
    char *t8;
    char *t9;
    char *t11;
    char *t12;
    char *t13;
    char *t14;
    char *t16;
    char *t17;
    char *t18;
    char *t19;
    char *t21;
    char *t22;
    char *t23;
    char *t24;
    char *t26;
    char *t27;
    char *t28;
    char *t29;
    char *t31;
    char *t32;
    char *t33;
    char *t34;
    char *t36;
    char *t37;
    unsigned int t38;
    unsigned int t39;
    unsigned int t40;
    unsigned int t41;
    unsigned int t42;
    unsigned int t43;
    unsigned int t44;
    unsigned char t45;
    char *t46;
    char *t47;
    char *t48;
    char *t49;
    char *t50;
    char *t51;

LAB0:    xsi_set_current_line(863, ng0);

LAB3:    t1 = (t0 + 85448U);
    t2 = *((char **)t1);
    t1 = (t0 + 28432U);
    t3 = *((char **)t1);
    t5 = ((IEEE_P_2592010699) + 4024);
    t6 = (t0 + 202252U);
    t7 = (t0 + 202492U);
    t1 = xsi_base_array_concat(t1, t4, t5, (char)97, t2, t6, (char)97, t3, t7, (char)101);
    t8 = (t0 + 85448U);
    t9 = *((char **)t8);
    t11 = ((IEEE_P_2592010699) + 4024);
    t12 = (t0 + 202252U);
    t8 = xsi_base_array_concat(t8, t10, t11, (char)97, t1, t4, (char)97, t9, t12, (char)101);
    t13 = (t0 + 27632U);
    t14 = *((char **)t13);
    t16 = ((IEEE_P_2592010699) + 4024);
    t17 = (t0 + 202444U);
    t13 = xsi_base_array_concat(t13, t15, t16, (char)97, t8, t10, (char)97, t14, t17, (char)101);
    t18 = (t0 + 85448U);
    t19 = *((char **)t18);
    t21 = ((IEEE_P_2592010699) + 4024);
    t22 = (t0 + 202252U);
    t18 = xsi_base_array_concat(t18, t20, t21, (char)97, t13, t15, (char)97, t19, t22, (char)101);
    t23 = (t0 + 28272U);
    t24 = *((char **)t23);
    t26 = ((IEEE_P_2592010699) + 4024);
    t27 = (t0 + 202476U);
    t23 = xsi_base_array_concat(t23, t25, t26, (char)97, t18, t20, (char)97, t24, t27, (char)101);
    t28 = (t0 + 85448U);
    t29 = *((char **)t28);
    t31 = ((IEEE_P_2592010699) + 4024);
    t32 = (t0 + 202252U);
    t28 = xsi_base_array_concat(t28, t30, t31, (char)97, t23, t25, (char)97, t29, t32, (char)101);
    t33 = (t0 + 27472U);
    t34 = *((char **)t33);
    t36 = ((IEEE_P_2592010699) + 4024);
    t37 = (t0 + 202428U);
    t33 = xsi_base_array_concat(t33, t35, t36, (char)97, t28, t30, (char)97, t34, t37, (char)101);
    t38 = (3U + 5U);
    t39 = (t38 + 3U);
    t40 = (t39 + 5U);
    t41 = (t40 + 3U);
    t42 = (t41 + 5U);
    t43 = (t42 + 3U);
    t44 = (t43 + 5U);
    t45 = (32U != t44);
    if (t45 == 1)
        goto LAB5;

LAB6:    t46 = (t0 + 99848);
    t47 = (t46 + 56U);
    t48 = *((char **)t47);
    t49 = (t48 + 56U);
    t50 = *((char **)t49);
    memcpy(t50, t33, 32U);
    xsi_driver_first_trans_fast(t46);

LAB2:    t51 = (t0 + 98984);
    *((int *)t51) = 1;

LAB1:    return;
LAB4:    goto LAB2;

LAB5:    xsi_size_not_matching(32U, t44, 0);
    goto LAB6;

}
static void work_a_0832606739_3212880686_p_3(char *t0)
{
    char t7[16];
    char t9[16];
    char *t1;
    char *t2;
    unsigned int t3;
    unsigned int t4;
    unsigned int t5;
    char *t6;
    char *t8;
    char *t10;
    char *t11;
    int t12;
    unsigned int t13;
    unsigned char t14;
    char *t15;
    char *t16;
    char *t17;
    char *t18;
    char *t19;

LAB0:    xsi_set_current_line(55, ng0);

LAB3:    t1 = (t0 + 776U);
    t2 = *((char **)t1);
    t3 = (31 - 30);
    t4 = (t3 * 1U);
    t5 = (0 + t4);
    t1 = (t2 + t5);
    t8 = ((IEEE_P_1242562249) + 1724);
    t10 = (t9 + 0U);
    t11 = (t10 + 0U);
    *((int *)t11) = 30;
    t11 = (t10 + 4U);
    *((int *)t11) = 0;
    t11 = (t10 + 8U);
    *((int *)t11) = -1;
    t12 = (0 - 30);
    t13 = (t12 * -1);
    t13 = (t13 + 1);
    t11 = (t10 + 12U);
    *((unsigned int *)t11) = t13;
    t6 = xsi_base_array_concat(t6, t7, t8, (char)99, (unsigned char)2, (char)97, t1, t9, (char)101);
    t13 = (1U + 31U);
    t14 = (32U != t13);
    if (t14 == 1)
        goto LAB5;

LAB6:    t11 = (t0 + 3740);
    t15 = (t11 + 32U);
    t16 = *((char **)t15);
    t17 = (t16 + 40U);
    t18 = *((char **)t17);
    memcpy(t18, t6, 32U);
    xsi_driver_first_trans_fast(t11);

LAB2:    t19 = (t0 + 3572);
    *((int *)t19) = 1;

LAB1:    return;
LAB4:    goto LAB2;

LAB5:    xsi_size_not_matching(32U, t13, 0);
    goto LAB6;

}
コード例 #10
0
static void work_a_2043411738_3212880686_p_0(char *t0)
{
    char t21[16];
    char t23[16];
    unsigned char t1;
    char *t2;
    unsigned char t3;
    char *t4;
    char *t5;
    unsigned char t6;
    unsigned char t7;
    char *t8;
    unsigned char t9;
    unsigned char t10;
    char *t11;
    unsigned char t12;
    unsigned char t13;
    char *t14;
    unsigned char t15;
    char *t16;
    unsigned int t17;
    unsigned int t18;
    unsigned int t19;
    char *t20;
    char *t22;
    char *t24;
    char *t25;
    int t26;
    unsigned int t27;
    unsigned char t28;
    char *t29;
    char *t30;
    char *t31;
    char *t32;

LAB0:    xsi_set_current_line(66, ng0);
    t2 = (t0 + 992U);
    t3 = xsi_signal_has_event(t2);
    if (t3 == 1)
        goto LAB5;

LAB6:    t1 = (unsigned char)0;

LAB7:    if (t1 != 0)
        goto LAB2;

LAB4:
LAB3:    t2 = (t0 + 6040);
    *((int *)t2) = 1;

LAB1:    return;
LAB2:    xsi_set_current_line(67, ng0);
    t4 = (t0 + 2152U);
    t8 = *((char **)t4);
    t9 = *((unsigned char *)t8);
    t10 = (t9 == (unsigned char)3);
    if (t10 != 0)
        goto LAB8;

LAB10:
LAB9:    goto LAB3;

LAB5:    t4 = (t0 + 1032U);
    t5 = *((char **)t4);
    t6 = *((unsigned char *)t5);
    t7 = (t6 == (unsigned char)3);
    t1 = t7;
    goto LAB7;

LAB8:    xsi_set_current_line(68, ng0);
    t4 = (t0 + 1992U);
    t11 = *((char **)t4);
    t12 = *((unsigned char *)t11);
    t13 = (t12 == (unsigned char)3);
    if (t13 != 0)
        goto LAB11;

LAB13:
LAB12:    goto LAB9;

LAB11:    xsi_set_current_line(69, ng0);
    t4 = (t0 + 1352U);
    t14 = *((char **)t4);
    t15 = *((unsigned char *)t14);
    t4 = (t0 + 2952U);
    t16 = *((char **)t4);
    t17 = (7 - 7);
    t18 = (t17 * 1U);
    t19 = (0 + t18);
    t4 = (t16 + t19);
    t22 = ((IEEE_P_2592010699) + 4024);
    t24 = (t23 + 0U);
    t25 = (t24 + 0U);
    *((int *)t25) = 7;
    t25 = (t24 + 4U);
    *((int *)t25) = 1;
    t25 = (t24 + 8U);
    *((int *)t25) = -1;
    t26 = (1 - 7);
    t27 = (t26 * -1);
    t27 = (t27 + 1);
    t25 = (t24 + 12U);
    *((unsigned int *)t25) = t27;
    t20 = xsi_base_array_concat(t20, t21, t22, (char)99, t15, (char)97, t4, t23, (char)101);
    t27 = (1U + 7U);
    t28 = (8U != t27);
    if (t28 == 1)
        goto LAB14;

LAB15:    t25 = (t0 + 6216);
    t29 = (t25 + 56U);
    t30 = *((char **)t29);
    t31 = (t30 + 56U);
    t32 = *((char **)t31);
    memcpy(t32, t20, 8U);
    xsi_driver_first_trans_fast(t25);
    goto LAB12;

LAB14:    xsi_size_not_matching(8U, t27, 0);
    goto LAB15;

}
コード例 #11
0
static void unisim_a_0018426790_2768510774_p_0(char *t0)
{
    char t17[16];
    char t22[8];
    char *t1;
    char *t2;
    unsigned char t3;
    char *t4;
    char *t5;
    int64 t6;
    char *t7;
    int64 t8;
    int64 t9;
    int64 t10;
    int64 t11;
    char *t12;
    unsigned char t13;
    unsigned char t14;
    unsigned char t15;
    char *t16;
    char *t18;
    char *t19;
    int t20;
    unsigned int t21;
    unsigned int t23;

LAB0:    t1 = (t0 + 3192U);
    t2 = *((char **)t1);
    if (t2 == 0)
        goto LAB2;

LAB3:    goto *t2;

LAB2:    t2 = (t0 + 992U);
    t3 = ieee_p_2592010699_sub_1744673427_503743352(IEEE_P_2592010699, t2, 0U, 0U);
    if (t3 != 0)
        goto LAB4;

LAB6:
LAB5:
LAB20:    t2 = (t0 + 3512);
    *((int *)t2) = 1;
    *((char **)t1) = &&LAB21;

LAB1:    return;
LAB4:    t4 = (t0 + 1728U);
    t5 = *((char **)t4);
    t6 = *((int64 *)t5);
    t4 = (t0 + 1608U);
    t7 = *((char **)t4);
    t4 = (t7 + 0);
    *((int64 *)t4) = t6;
    t6 = xsi_get_sim_current_time();
    t2 = (t0 + 1728U);
    t4 = *((char **)t2);
    t2 = (t4 + 0);
    *((int64 *)t2) = t6;
    t2 = (t0 + 1608U);
    t4 = *((char **)t2);
    t6 = *((int64 *)t4);
    t8 = (0 * 1LL);
    t3 = (t6 > t8);
    if (t3 != 0)
        goto LAB7;

LAB9:
LAB8:    t2 = (t0 + 1848U);
    t4 = *((char **)t2);
    t6 = *((int64 *)t4);
    t13 = (t6 > 100000000LL);
    if (t13 == 1)
        goto LAB13;

LAB14:    t3 = (unsigned char)0;

LAB15:    if (t3 != 0)
        goto LAB10;

LAB12:
LAB11:    goto LAB5;

LAB7:    t2 = (t0 + 1728U);
    t5 = *((char **)t2);
    t9 = *((int64 *)t5);
    t2 = (t0 + 1608U);
    t7 = *((char **)t2);
    t10 = *((int64 *)t7);
    t11 = (t9 - t10);
    t2 = (t0 + 1848U);
    t12 = *((char **)t2);
    t2 = (t12 + 0);
    *((int64 *)t2) = t11;
    goto LAB8;

LAB10:    t2 = (t0 + 3000);
    t7 = (t0 + 2248U);
    t12 = (t0 + 5812);
    t18 = (t17 + 0U);
    t19 = (t18 + 0U);
    *((int *)t19) = 1;
    t19 = (t18 + 4U);
    *((int *)t19) = 33;
    t19 = (t18 + 8U);
    *((int *)t19) = 1;
    t20 = (33 - 1);
    t21 = (t20 * 1);
    t21 = (t21 + 1);
    t19 = (t18 + 12U);
    *((unsigned int *)t19) = t21;
    std_textio_write7(STD_TEXTIO, t2, t7, t12, t17, (unsigned char)0, 0);
    t2 = (t0 + 3000);
    t4 = (t0 + 2248U);
    t5 = (t0 + 1848U);
    t7 = *((char **)t5);
    t6 = *((int64 *)t7);
    std_textio_write8(STD_TEXTIO, t2, t4, t6, (unsigned char)0, 0, 1000LL);
    t2 = (t0 + 3000);
    t4 = (t0 + 2248U);
    t5 = (t0 + 5845);
    t12 = (t17 + 0U);
    t16 = (t12 + 0U);
    *((int *)t16) = 1;
    t16 = (t12 + 4U);
    *((int *)t16) = 8;
    t16 = (t12 + 8U);
    *((int *)t16) = 1;
    t20 = (8 - 1);
    t21 = (t20 * 1);
    t21 = (t21 + 1);
    t16 = (t12 + 12U);
    *((unsigned int *)t16) = t21;
    std_textio_write7(STD_TEXTIO, t2, t4, t5, t17, (unsigned char)0, 0);
    t2 = (t0 + 3000);
    t4 = (t0 + 2248U);
    t5 = (t0 + 5853);
    memcpy(t22, t5, 5U);
    t12 = (t0 + 5668U);
    std_textio_write7(STD_TEXTIO, t2, t4, t22, t12, (unsigned char)0, 0);
    t2 = (t0 + 3000);
    t4 = (t0 + 2248U);
    t5 = (t0 + 5858);
    t12 = (t17 + 0U);
    t16 = (t12 + 0U);
    *((int *)t16) = 1;
    t16 = (t12 + 4U);
    *((int *)t16) = 6;
    t16 = (t12 + 8U);
    *((int *)t16) = 1;
    t20 = (6 - 1);
    t21 = (t20 * 1);
    t21 = (t21 + 1);
    t16 = (t12 + 12U);
    *((unsigned int *)t16) = t21;
    std_textio_write7(STD_TEXTIO, t2, t4, t5, t17, (unsigned char)0, 0);
    t2 = (t0 + 3000);
    t4 = (t0 + 2248U);
    t5 = (t0 + 5864);
    t12 = (t17 + 0U);
    t16 = (t12 + 0U);
    *((int *)t16) = 1;
    t16 = (t12 + 4U);
    *((int *)t16) = 20;
    t16 = (t12 + 8U);
    *((int *)t16) = 1;
    t20 = (20 - 1);
    t21 = (t20 * 1);
    t21 = (t21 + 1);
    t16 = (t12 + 12U);
    *((unsigned int *)t16) = t21;
    std_textio_write7(STD_TEXTIO, t2, t4, t5, t17, (unsigned char)0, 0);
    t2 = (t0 + 3000);
    t4 = (t0 + 2248U);
    t5 = (t0 + 5884);
    t12 = (t17 + 0U);
    t16 = (t12 + 0U);
    *((int *)t16) = 1;
    t16 = (t12 + 4U);
    *((int *)t16) = 26;
    t16 = (t12 + 8U);
    *((int *)t16) = 1;
    t20 = (26 - 1);
    t21 = (t20 * 1);
    t21 = (t21 + 1);
    t16 = (t12 + 12U);
    *((unsigned int *)t16) = t21;
    std_textio_write7(STD_TEXTIO, t2, t4, t5, t17, (unsigned char)0, 0);
    t2 = (t0 + 3000);
    t4 = (t0 + 2248U);
    std_textio_write8(STD_TEXTIO, t2, t4, 100000000LL, (unsigned char)0, 0, 1000LL);
    t2 = (t0 + 3000);
    t4 = (t0 + 2248U);
    t5 = (t0 + 5910);
    t12 = (t17 + 0U);
    t16 = (t12 + 0U);
    *((int *)t16) = 1;
    t16 = (t12 + 4U);
    *((int *)t16) = 20;
    t16 = (t12 + 8U);
    *((int *)t16) = 1;
    t20 = (20 - 1);
    t21 = (t20 * 1);
    t21 = (t21 + 1);
    t16 = (t12 + 12U);
    *((unsigned int *)t16) = t21;
    std_textio_write7(STD_TEXTIO, t2, t4, t5, t17, (unsigned char)0, 0);
    t2 = (t0 + 3000);
    t4 = (t0 + 2248U);
    t5 = (t0 + 1728U);
    t7 = *((char **)t5);
    t6 = *((int64 *)t7);
    std_textio_write8(STD_TEXTIO, t2, t4, t6, (unsigned char)0, 0, 1000LL);
    t2 = (t0 + 3000);
    t4 = (t0 + 2248U);
    t7 = ((STD_STANDARD) + 1008);
    t5 = xsi_base_array_concat(t5, t17, t7, (char)99, (unsigned char)46, (char)99, (unsigned char)10, (char)101);
    t21 = (1U + 1U);
    t12 = (char *)alloca(t21);
    memcpy(t12, t5, t21);
    std_textio_write7(STD_TEXTIO, t2, t4, t12, t17, (unsigned char)0, 0);
    if ((unsigned char)0 == 0)
        goto LAB16;

LAB17:    t2 = (t0 + 2248U);
    xsi_access_variable_deallocate(t2);
    goto LAB11;

LAB13:    t2 = (t0 + 1192U);
    t5 = *((char **)t2);
    t14 = *((unsigned char *)t5);
    t15 = (t14 == (unsigned char)2);
    t3 = t15;
    goto LAB15;

LAB16:    t2 = (t0 + 2248U);
    t4 = xsi_access_variable_all(t2);
    t5 = (t4 + 56U);
    t7 = *((char **)t5);
    t5 = (t0 + 2248U);
    t16 = xsi_access_variable_all(t5);
    t18 = (t16 + 64U);
    t18 = *((char **)t18);
    t19 = (t18 + 12U);
    t21 = *((unsigned int *)t19);
    t23 = (1U * t21);
    xsi_report(t7, t23, (unsigned char)1);
    goto LAB17;

LAB18:    t4 = (t0 + 3512);
    *((int *)t4) = 0;
    goto LAB2;

LAB19:    goto LAB18;

LAB21:    goto LAB19;

}
コード例 #12
0
static void work_a_2169501849_3212880686_p_2(char *t0)
{
    char t16[16];
    char *t1;
    unsigned char t2;
    char *t3;
    char *t4;
    unsigned char t5;
    unsigned char t6;
    char *t7;
    unsigned char t8;
    char *t9;
    unsigned char t10;
    unsigned char t11;
    char *t12;
    char *t13;
    char *t14;
    char *t15;
    unsigned int t17;
    char *t18;
    char *t19;

LAB0:    xsi_set_current_line(45, ng0);
    t1 = (t0 + 992U);
    t2 = ieee_p_2592010699_sub_1744673427_503743352(IEEE_P_2592010699, t1, 0U, 0U);
    if (t2 != 0)
        goto LAB2;

LAB4:
LAB3:    t1 = (t0 + 4720);
    *((int *)t1) = 1;

LAB1:    return;
LAB2:    xsi_set_current_line(46, ng0);
    t3 = (t0 + 2472U);
    t4 = *((char **)t3);
    t5 = *((unsigned char *)t4);
    t6 = (t5 == (unsigned char)3);
    if (t6 != 0)
        goto LAB5;

LAB7:
LAB6:    goto LAB3;

LAB5:    xsi_set_current_line(47, ng0);
    t3 = (t0 + 1832U);
    t7 = *((char **)t3);
    t8 = *((unsigned char *)t7);
    t3 = (t0 + 1352U);
    t9 = *((char **)t3);
    t10 = *((unsigned char *)t9);
    t11 = ieee_p_2592010699_sub_1605435078_503743352(IEEE_P_2592010699, t8, t10);
    t3 = (t0 + 4928);
    t12 = (t3 + 56U);
    t13 = *((char **)t12);
    t14 = (t13 + 56U);
    t15 = *((char **)t14);
    *((unsigned char *)t15) = t11;
    xsi_driver_first_trans_fast_port(t3);
    xsi_set_current_line(48, ng0);
    t1 = (t0 + 1192U);
    t3 = *((char **)t1);
    t1 = (t0 + 1672U);
    t4 = *((char **)t1);
    t7 = ((IEEE_P_2592010699) + 4024);
    t9 = (t0 + 7612U);
    t12 = (t0 + 7628U);
    t1 = xsi_base_array_concat(t1, t16, t7, (char)97, t3, t9, (char)97, t4, t12, (char)101);
    t17 = (2U + 18U);
    t2 = (20U != t17);
    if (t2 == 1)
        goto LAB8;

LAB9:    t13 = (t0 + 4992);
    t14 = (t13 + 56U);
    t15 = *((char **)t14);
    t18 = (t15 + 56U);
    t19 = *((char **)t18);
    memcpy(t19, t1, 20U);
    xsi_driver_first_trans_fast_port(t13);
    goto LAB6;

LAB8:    xsi_size_not_matching(20U, t17, 0);
    goto LAB9;

}
コード例 #13
0
static void axi_vdma_v5_00_a_a_2706918730_3640575771_p_13(char *t0)
{
    char t6[16];
    char t18[16];
    char t30[16];
    char *t1;
    char *t2;
    unsigned char t3;
    char *t4;
    unsigned char t5;
    char *t7;
    char *t8;
    char *t9;
    char *t10;
    int t11;
    int t12;
    unsigned int t13;
    unsigned int t14;
    unsigned int t15;
    unsigned char t16;
    char *t17;
    char *t19;
    char *t20;
    char *t21;
    char *t22;
    int t23;
    int t24;
    unsigned int t25;
    unsigned int t26;
    unsigned int t27;
    unsigned char t28;
    char *t29;
    char *t31;
    unsigned int t32;
    unsigned int t33;
    unsigned int t34;
    unsigned char t35;
    char *t36;
    char *t37;
    char *t38;
    char *t39;
    char *t40;
    char *t41;

LAB0:    xsi_set_current_line(884, ng0);

LAB3:    t1 = (t0 + 30192U);
    t2 = *((char **)t1);
    t3 = *((unsigned char *)t2);
    t1 = (t0 + 30352U);
    t4 = *((char **)t1);
    t5 = *((unsigned char *)t4);
    t7 = ((IEEE_P_2592010699) + 4024);
    t1 = xsi_base_array_concat(t1, t6, t7, (char)99, t3, (char)99, t5, (char)101);
    t8 = (t0 + 25552U);
    t9 = *((char **)t8);
    t8 = ((AXI_VDMA_V5_00_A_P_3854302438) + 6448U);
    t10 = *((char **)t8);
    t11 = *((int *)t10);
    t12 = (t11 - 58);
    t13 = (t12 * -1);
    t14 = (1U * t13);
    t15 = (0 + t14);
    t8 = (t9 + t15);
    t16 = *((unsigned char *)t8);
    t19 = ((IEEE_P_2592010699) + 4024);
    t17 = xsi_base_array_concat(t17, t18, t19, (char)97, t1, t6, (char)99, t16, (char)101);
    t20 = (t0 + 25552U);
    t21 = *((char **)t20);
    t20 = ((AXI_VDMA_V5_00_A_P_3854302438) + 6568U);
    t22 = *((char **)t20);
    t23 = *((int *)t22);
    t24 = (t23 - 58);
    t25 = (t24 * -1);
    t26 = (1U * t25);
    t27 = (0 + t26);
    t20 = (t21 + t27);
    t28 = *((unsigned char *)t20);
    t31 = ((IEEE_P_2592010699) + 4024);
    t29 = xsi_base_array_concat(t29, t30, t31, (char)97, t17, t18, (char)99, t28, (char)101);
    t32 = (1U + 1U);
    t33 = (t32 + 1U);
    t34 = (t33 + 1U);
    t35 = (4U != t34);
    if (t35 == 1)
        goto LAB5;

LAB6:    t36 = (t0 + 100040);
    t37 = (t36 + 56U);
    t38 = *((char **)t37);
    t39 = (t38 + 56U);
    t40 = *((char **)t39);
    memcpy(t40, t29, 4U);
    xsi_driver_first_trans_fast(t36);

LAB2:    t41 = (t0 + 99016);
    *((int *)t41) = 1;

LAB1:    return;
LAB4:    goto LAB2;

LAB5:    xsi_size_not_matching(4U, t34, 0);
    goto LAB6;

}
コード例 #14
0
ファイル: a_1738649889_3212880686.c プロジェクト: m1TP/aeo
static void work_a_1738649889_3212880686_p_1(char *t0)
{
    char t25[16];
    char t35[16];
    char t40[16];
    unsigned char t1;
    char *t2;
    unsigned char t3;
    char *t4;
    char *t5;
    unsigned char t6;
    unsigned char t7;
    char *t8;
    unsigned char t9;
    unsigned char t10;
    char *t11;
    int t12;
    unsigned int t13;
    unsigned int t14;
    unsigned int t15;
    unsigned char t16;
    char *t17;
    char *t18;
    int t19;
    unsigned int t20;
    unsigned int t21;
    unsigned int t22;
    unsigned char t23;
    char *t24;
    char *t26;
    char *t27;
    char *t28;
    int t29;
    unsigned int t30;
    unsigned int t31;
    unsigned int t32;
    unsigned char t33;
    char *t34;
    char *t36;
    char *t37;
    char *t38;
    unsigned char t39;
    char *t41;
    char *t42;
    char *t43;
    char *t44;
    char *t45;
    char *t46;

LAB0:    xsi_set_current_line(47, ng0);
    t2 = (t0 + 992U);
    t3 = xsi_signal_has_event(t2);
    if (t3 == 1)
        goto LAB5;

LAB6:    t1 = (unsigned char)0;

LAB7:    if (t1 != 0)
        goto LAB2;

LAB4:
LAB3:    t2 = (t0 + 3656);
    *((int *)t2) = 1;

LAB1:    return;
LAB2:    xsi_set_current_line(48, ng0);
    t4 = (t0 + 1192U);
    t8 = *((char **)t4);
    t9 = *((unsigned char *)t8);
    t10 = (t9 == (unsigned char)3);
    if (t10 != 0)
        goto LAB8;

LAB10:
LAB9:    goto LAB3;

LAB5:    t4 = (t0 + 1032U);
    t5 = *((char **)t4);
    t6 = *((unsigned char *)t5);
    t7 = (t6 == (unsigned char)3);
    t1 = t7;
    goto LAB7;

LAB8:    xsi_set_current_line(49, ng0);
    t4 = (t0 + 1512U);
    t11 = *((char **)t4);
    t12 = (2 - 3);
    t13 = (t12 * -1);
    t14 = (1U * t13);
    t15 = (0 + t14);
    t4 = (t11 + t15);
    t16 = *((unsigned char *)t4);
    t17 = (t0 + 1512U);
    t18 = *((char **)t17);
    t19 = (1 - 3);
    t20 = (t19 * -1);
    t21 = (1U * t20);
    t22 = (0 + t21);
    t17 = (t18 + t22);
    t23 = *((unsigned char *)t17);
    t26 = ((IEEE_P_2592010699) + 4000);
    t24 = xsi_base_array_concat(t24, t25, t26, (char)99, t16, (char)99, t23, (char)101);
    t27 = (t0 + 1512U);
    t28 = *((char **)t27);
    t29 = (0 - 3);
    t30 = (t29 * -1);
    t31 = (1U * t30);
    t32 = (0 + t31);
    t27 = (t28 + t32);
    t33 = *((unsigned char *)t27);
    t36 = ((IEEE_P_2592010699) + 4000);
    t34 = xsi_base_array_concat(t34, t35, t36, (char)97, t24, t25, (char)99, t33, (char)101);
    t37 = (t0 + 1672U);
    t38 = *((char **)t37);
    t39 = *((unsigned char *)t38);
    t41 = ((IEEE_P_2592010699) + 4000);
    t37 = xsi_base_array_concat(t37, t40, t41, (char)97, t34, t35, (char)99, t39, (char)101);
    t42 = (t0 + 3816);
    t43 = (t42 + 56U);
    t44 = *((char **)t43);
    t45 = (t44 + 56U);
    t46 = *((char **)t45);
    memcpy(t46, t37, 4U);
    xsi_driver_first_trans_fast(t42);
    goto LAB9;

}
コード例 #15
0
static void microblaze_v8_20_b_a_2483669771_3306564128_p_11(char *t0)
{
    char t7[16];
    char t9[16];
    char *t1;
    char *t2;
    unsigned int t3;
    unsigned int t4;
    unsigned int t5;
    char *t6;
    char *t8;
    char *t10;
    char *t11;
    int t12;
    unsigned int t13;
    unsigned char t14;
    char *t15;
    char *t16;
    char *t17;
    char *t18;
    char *t19;

LAB0:    xsi_set_current_line(654, ng0);

LAB3:    t1 = (t0 + 3368U);
    t2 = *((char **)t1);
    t3 = (15 - 0);
    t4 = (t3 * 1U);
    t5 = (0 + t4);
    t1 = (t2 + t5);
    t8 = ((IEEE_P_2592010699) + 4024);
    t10 = (t9 + 0U);
    t11 = (t10 + 0U);
    *((int *)t11) = 15;
    t11 = (t10 + 4U);
    *((int *)t11) = 31;
    t11 = (t10 + 8U);
    *((int *)t11) = 1;
    t12 = (31 - 15);
    t13 = (t12 * 1);
    t13 = (t13 + 1);
    t11 = (t10 + 12U);
    *((unsigned int *)t11) = t13;
    t6 = xsi_base_array_concat(t6, t7, t8, (char)99, (unsigned char)2, (char)97, t1, t9, (char)101);
    t13 = (1U + 17U);
    t14 = (18U != t13);
    if (t14 == 1)
        goto LAB5;

LAB6:    t11 = (t0 + 18656);
    t15 = (t11 + 56U);
    t16 = *((char **)t15);
    t17 = (t16 + 56U);
    t18 = *((char **)t17);
    memcpy(t18, t6, 18U);
    xsi_driver_first_trans_fast(t11);

LAB2:    t19 = (t0 + 17840);
    *((int *)t19) = 1;

LAB1:    return;
LAB4:    goto LAB2;

LAB5:    xsi_size_not_matching(18U, t13, 0);
    goto LAB6;

}
コード例 #16
0
static void proc_common_v3_00_a_a_4130876295_3212880686_p_0(char *t0)
{
    char t17[16];
    char t19[32];
    unsigned char t1;
    char *t2;
    unsigned char t3;
    char *t4;
    char *t5;
    unsigned char t6;
    unsigned char t7;
    char *t8;
    unsigned char t9;
    unsigned char t10;
    char *t11;
    char *t12;
    unsigned int t13;
    unsigned int t14;
    unsigned int t15;
    char *t16;
    char *t18;
    char *t20;
    char *t21;
    int t22;
    unsigned int t23;
    char *t24;
    int t25;
    char *t26;
    char *t27;
    char *t28;
    char *t29;

LAB0:    xsi_set_current_line(360, ng2);
    t2 = (t0 + 1256U);
    t3 = xsi_signal_has_event(t2);
    if (t3 == 1)
        goto LAB5;

LAB6:    t1 = (unsigned char)0;

LAB7:    if (t1 != 0)
        goto LAB2;

LAB4:
LAB3:    t2 = (t0 + 4792);
    *((int *)t2) = 1;

LAB1:    return;
LAB2:    xsi_set_current_line(361, ng2);
    t4 = (t0 + 1456U);
    t8 = *((char **)t4);
    t9 = *((unsigned char *)t8);
    t10 = (t9 == (unsigned char)3);
    if (t10 != 0)
        goto LAB8;

LAB10:
LAB9:    goto LAB3;

LAB5:    t4 = (t0 + 1296U);
    t5 = *((char **)t4);
    t6 = *((unsigned char *)t5);
    t7 = (t6 == (unsigned char)3);
    t1 = t7;
    goto LAB7;

LAB8:    xsi_set_current_line(362, ng2);
    t4 = (t0 + 1776U);
    t11 = *((char **)t4);
    t4 = (t0 + 2096U);
    t12 = *((char **)t4);
    t13 = (0 - 0);
    t14 = (t13 * 8U);
    t15 = (0 + t14);
    t4 = (t12 + t15);
    t18 = (t0 + 5424);
    t20 = (t19 + 0U);
    t21 = (t20 + 0U);
    *((int *)t21) = 0;
    t21 = (t20 + 4U);
    *((int *)t21) = 14;
    t21 = (t20 + 8U);
    *((int *)t21) = 1;
    t22 = (14 - 0);
    t23 = (t22 * 1);
    t23 = (t23 + 1);
    t21 = (t20 + 12U);
    *((unsigned int *)t21) = t23;
    t21 = (t19 + 16U);
    t24 = (t21 + 0U);
    *((int *)t24) = 0;
    t24 = (t21 + 4U);
    *((int *)t24) = 7;
    t24 = (t21 + 8U);
    *((int *)t24) = 1;
    t25 = (7 - 0);
    t23 = (t25 * 1);
    t23 = (t23 + 1);
    t24 = (t21 + 12U);
    *((unsigned int *)t24) = t23;
    t16 = xsi_base_array_concat(t16, t17, t18, (char)109, t11, (char)97, t4, t19, (char)101);
    t24 = (t0 + 4888);
    t26 = (t24 + 56U);
    t27 = *((char **)t26);
    t28 = (t27 + 56U);
    t29 = *((char **)t28);
    memcpy(t29, t16, 128U);
    xsi_driver_first_trans_fast(t24);
    goto LAB9;

}
コード例 #17
0
static void work_a_3813126550_0318166943_p_0(char *t0)
{
    char t12[16];
    char t13[16];
    char t14[16];
    char t15[16];
    char t21[16];
    char t23[16];
    char t32[16];
    char t34[16];
    char *t1;
    char *t2;
    int64 t3;
    char *t4;
    int t5;
    unsigned char t6;
    char *t7;
    char *t8;
    char *t9;
    char *t10;
    char *t11;
    unsigned int t16;
    char *t17;
    char *t18;
    char *t19;
    char *t20;
    char *t22;
    char *t24;
    char *t25;
    int t26;
    char *t27;
    char *t28;
    char *t30;
    char *t31;
    char *t33;
    char *t35;
    char *t36;
    int t37;
    char *t38;
    char *t39;
    char *t40;
    char *t41;
    char *t42;
    int t43;
    int t44;
    int t45;
    int t46;
    int t47;
    int t48;
    int t49;
    int t50;
    int t51;
    int t52;

LAB0:    t1 = (t0 + 3312U);
    t2 = *((char **)t1);
    if (t2 == 0)
        goto LAB2;

LAB3:    goto *t2;

LAB2:    xsi_set_current_line(63, ng0);
    t3 = (1 * 1LL);
    t2 = (t0 + 3120);
    xsi_process_wait(t2, t3);

LAB6:    *((char **)t1) = &&LAB7;

LAB1:    return;
LAB4:    xsi_set_current_line(65, ng0);
    t2 = (t0 + 1968U);
    t4 = *((char **)t2);
    t5 = *((int *)t4);
    t6 = (t5 == 16);
    if (t6 != 0)
        goto LAB8;

LAB10:    xsi_set_current_line(92, ng0);
    t2 = (t0 + 6380);
    *((int *)t2) = 0;
    t4 = (t0 + 6384);
    *((int *)t4) = 1;
    t5 = 0;
    t26 = 1;

LAB75:    if (t5 <= t26)
        goto LAB76;

LAB78:
LAB9:    xsi_set_current_line(110, ng0);

LAB107:    *((char **)t1) = &&LAB108;
    goto LAB1;

LAB5:    goto LAB4;

LAB7:    goto LAB5;

LAB8:    xsi_set_current_line(66, ng0);
    t2 = (t0 + 3696);
    t7 = (t2 + 56U);
    t8 = *((char **)t7);
    t9 = (t8 + 56U);
    t10 = *((char **)t9);
    *((unsigned char *)t10) = (unsigned char)2;
    xsi_driver_first_trans_fast(t2);
    xsi_set_current_line(67, ng0);
    t2 = (t0 + 6220);
    t7 = (t0 + 3760);
    t8 = (t7 + 56U);
    t9 = *((char **)t8);
    t10 = (t9 + 56U);
    t11 = *((char **)t10);
    memcpy(t11, t2, 8U);
    xsi_driver_first_trans_fast(t7);
    xsi_set_current_line(68, ng0);
    t2 = (t0 + 6236);
    t7 = (t0 + 3824);
    t8 = (t7 + 56U);
    t9 = *((char **)t8);
    t10 = (t9 + 56U);
    t11 = *((char **)t10);
    memcpy(t11, t2, 8U);
    xsi_driver_first_trans_fast(t7);
    xsi_set_current_line(69, ng0);
    t3 = (1 * 1LL);
    t2 = (t0 + 3120);
    xsi_process_wait(t2, t3);

LAB13:    *((char **)t1) = &&LAB14;
    goto LAB1;

LAB11:    xsi_set_current_line(70, ng0);
    t2 = (t0 + 6252);
    t7 = (t0 + 1192U);
    t8 = *((char **)t7);
    t9 = ((IEEE_P_2592010699) + 4024);
    t10 = (t15 + 0U);
    t11 = (t10 + 0U);
    *((int *)t11) = 0;
    t11 = (t10 + 4U);
    *((int *)t11) = 0;
    t11 = (t10 + 8U);
    *((int *)t11) = 1;
    t5 = (0 - 0);
    t16 = (t5 * 1);
    t16 = (t16 + 1);
    t11 = (t10 + 12U);
    *((unsigned int *)t11) = t16;
    t11 = (t0 + 6096U);
    t7 = xsi_base_array_concat(t7, t14, t9, (char)97, t2, t15, (char)97, t8, t11, (char)101);
    t17 = (t0 + 6253);
    t19 = (t0 + 1352U);
    t20 = *((char **)t19);
    t22 = ((IEEE_P_2592010699) + 4024);
    t24 = (t23 + 0U);
    t25 = (t24 + 0U);
    *((int *)t25) = 0;
    t25 = (t24 + 4U);
    *((int *)t25) = 0;
    t25 = (t24 + 8U);
    *((int *)t25) = 1;
    t26 = (0 - 0);
    t16 = (t26 * 1);
    t16 = (t16 + 1);
    t25 = (t24 + 12U);
    *((unsigned int *)t25) = t16;
    t25 = (t0 + 6112U);
    t19 = xsi_base_array_concat(t19, t21, t22, (char)97, t17, t23, (char)97, t20, t25, (char)101);
    t27 = ieee_p_1242562249_sub_1547198987_1035706684(IEEE_P_1242562249, t13, t7, t14, t19, t21);
    t28 = (t0 + 6254);
    t30 = (t0 + 1032U);
    t31 = *((char **)t30);
    t6 = *((unsigned char *)t31);
    t33 = ((IEEE_P_1242562249) + 3000);
    t35 = (t34 + 0U);
    t36 = (t35 + 0U);
    *((int *)t36) = 0;
    t36 = (t35 + 4U);
    *((int *)t36) = -1;
    t36 = (t35 + 8U);
    *((int *)t36) = 1;
    t37 = (-1 - 0);
    t16 = (t37 * 1);
    t16 = (t16 + 1);
    t36 = (t35 + 12U);
    *((unsigned int *)t36) = t16;
    t30 = xsi_base_array_concat(t30, t32, t33, (char)97, t28, t34, (char)99, t6, (char)101);
    t36 = ieee_p_1242562249_sub_1547198987_1035706684(IEEE_P_1242562249, t12, t27, t13, t30, t32);
    t38 = (t0 + 3888);
    t39 = (t38 + 56U);
    t40 = *((char **)t39);
    t41 = (t40 + 56U);
    t42 = *((char **)t41);
    memcpy(t42, t36, 9U);
    xsi_driver_first_trans_fast(t38);
    xsi_set_current_line(71, ng0);
    t3 = (10 * 1000LL);
    t2 = (t0 + 3120);
    xsi_process_wait(t2, t3);

LAB17:    *((char **)t1) = &&LAB18;
    goto LAB1;

LAB12:    goto LAB11;

LAB14:    goto LAB12;

LAB15:    xsi_set_current_line(72, ng0);
    t2 = (t0 + 1512U);
    t4 = *((char **)t2);
    t2 = (t0 + 1672U);
    t7 = *((char **)t2);
    t6 = 1;
    if (9U == 9U)
        goto LAB21;

LAB22:    t6 = 0;

LAB23:    if (t6 == 0)
        goto LAB19;

LAB20:    xsi_set_current_line(73, ng0);
    t2 = (t0 + 3696);
    t4 = (t2 + 56U);
    t7 = *((char **)t4);
    t8 = (t7 + 56U);
    t9 = *((char **)t8);
    *((unsigned char *)t9) = (unsigned char)3;
    xsi_driver_first_trans_fast(t2);
    xsi_set_current_line(74, ng0);
    t3 = (1 * 1LL);
    t2 = (t0 + 3120);
    xsi_process_wait(t2, t3);

LAB29:    *((char **)t1) = &&LAB30;
    goto LAB1;

LAB16:    goto LAB15;

LAB18:    goto LAB16;

LAB19:    t9 = (t0 + 6254);
    xsi_report(t9, 22U, (unsigned char)2);
    goto LAB20;

LAB21:    t16 = 0;

LAB24:    if (t16 < 9U)
        goto LAB25;
    else
        goto LAB23;

LAB25:    t2 = (t4 + t16);
    t8 = (t7 + t16);
    if (*((unsigned char *)t2) != *((unsigned char *)t8))
        goto LAB22;

LAB26:    t16 = (t16 + 1);
    goto LAB24;

LAB27:    xsi_set_current_line(75, ng0);
    t2 = (t0 + 6276);
    t7 = (t0 + 1192U);
    t8 = *((char **)t7);
    t9 = ((IEEE_P_2592010699) + 4024);
    t10 = (t15 + 0U);
    t11 = (t10 + 0U);
    *((int *)t11) = 0;
    t11 = (t10 + 4U);
    *((int *)t11) = 0;
    t11 = (t10 + 8U);
    *((int *)t11) = 1;
    t5 = (0 - 0);
    t16 = (t5 * 1);
    t16 = (t16 + 1);
    t11 = (t10 + 12U);
    *((unsigned int *)t11) = t16;
    t11 = (t0 + 6096U);
    t7 = xsi_base_array_concat(t7, t14, t9, (char)97, t2, t15, (char)97, t8, t11, (char)101);
    t17 = (t0 + 6277);
    t19 = (t0 + 1352U);
    t20 = *((char **)t19);
    t22 = ((IEEE_P_2592010699) + 4024);
    t24 = (t23 + 0U);
    t25 = (t24 + 0U);
    *((int *)t25) = 0;
    t25 = (t24 + 4U);
    *((int *)t25) = 0;
    t25 = (t24 + 8U);
    *((int *)t25) = 1;
    t26 = (0 - 0);
    t16 = (t26 * 1);
    t16 = (t16 + 1);
    t25 = (t24 + 12U);
    *((unsigned int *)t25) = t16;
    t25 = (t0 + 6112U);
    t19 = xsi_base_array_concat(t19, t21, t22, (char)97, t17, t23, (char)97, t20, t25, (char)101);
    t27 = ieee_p_1242562249_sub_1547198987_1035706684(IEEE_P_1242562249, t13, t7, t14, t19, t21);
    t28 = (t0 + 6278);
    t30 = (t0 + 1032U);
    t31 = *((char **)t30);
    t6 = *((unsigned char *)t31);
    t33 = ((IEEE_P_1242562249) + 3000);
    t35 = (t34 + 0U);
    t36 = (t35 + 0U);
    *((int *)t36) = 0;
    t36 = (t35 + 4U);
    *((int *)t36) = -1;
    t36 = (t35 + 8U);
    *((int *)t36) = 1;
    t37 = (-1 - 0);
    t16 = (t37 * 1);
    t16 = (t16 + 1);
    t36 = (t35 + 12U);
    *((unsigned int *)t36) = t16;
    t30 = xsi_base_array_concat(t30, t32, t33, (char)97, t28, t34, (char)99, t6, (char)101);
    t36 = ieee_p_1242562249_sub_1547198987_1035706684(IEEE_P_1242562249, t12, t27, t13, t30, t32);
    t38 = (t0 + 3888);
    t39 = (t38 + 56U);
    t40 = *((char **)t39);
    t41 = (t40 + 56U);
    t42 = *((char **)t41);
    memcpy(t42, t36, 9U);
    xsi_driver_first_trans_fast(t38);
    xsi_set_current_line(76, ng0);
    t3 = (10 * 1000LL);
    t2 = (t0 + 3120);
    xsi_process_wait(t2, t3);

LAB33:    *((char **)t1) = &&LAB34;
    goto LAB1;

LAB28:    goto LAB27;

LAB30:    goto LAB28;

LAB31:    xsi_set_current_line(77, ng0);
    t2 = (t0 + 1512U);
    t4 = *((char **)t2);
    t2 = (t0 + 1672U);
    t7 = *((char **)t2);
    t6 = 1;
    if (9U == 9U)
        goto LAB37;

LAB38:    t6 = 0;

LAB39:    if (t6 == 0)
        goto LAB35;

LAB36:    xsi_set_current_line(79, ng0);
    t2 = (t0 + 3696);
    t4 = (t2 + 56U);
    t7 = *((char **)t4);
    t8 = (t7 + 56U);
    t9 = *((char **)t8);
    *((unsigned char *)t9) = (unsigned char)2;
    xsi_driver_first_trans_fast(t2);
    xsi_set_current_line(80, ng0);
    t2 = (t0 + 6300);
    t7 = (t0 + 3760);
    t8 = (t7 + 56U);
    t9 = *((char **)t8);
    t10 = (t9 + 56U);
    t11 = *((char **)t10);
    memcpy(t11, t2, 8U);
    xsi_driver_first_trans_fast(t7);
    xsi_set_current_line(81, ng0);
    t2 = (t0 + 6316);
    t7 = (t0 + 3824);
    t8 = (t7 + 56U);
    t9 = *((char **)t8);
    t10 = (t9 + 56U);
    t11 = *((char **)t10);
    memcpy(t11, t2, 8U);
    xsi_driver_first_trans_fast(t7);
    xsi_set_current_line(82, ng0);
    t3 = (1 * 1LL);
    t2 = (t0 + 3120);
    xsi_process_wait(t2, t3);

LAB45:    *((char **)t1) = &&LAB46;
    goto LAB1;

LAB32:    goto LAB31;

LAB34:    goto LAB32;

LAB35:    t9 = (t0 + 6278);
    xsi_report(t9, 22U, (unsigned char)2);
    goto LAB36;

LAB37:    t16 = 0;

LAB40:    if (t16 < 9U)
        goto LAB41;
    else
        goto LAB39;

LAB41:    t2 = (t4 + t16);
    t8 = (t7 + t16);
    if (*((unsigned char *)t2) != *((unsigned char *)t8))
        goto LAB38;

LAB42:    t16 = (t16 + 1);
    goto LAB40;

LAB43:    xsi_set_current_line(83, ng0);
    t2 = (t0 + 6332);
    t7 = (t0 + 1192U);
    t8 = *((char **)t7);
    t9 = ((IEEE_P_2592010699) + 4024);
    t10 = (t15 + 0U);
    t11 = (t10 + 0U);
    *((int *)t11) = 0;
    t11 = (t10 + 4U);
    *((int *)t11) = 0;
    t11 = (t10 + 8U);
    *((int *)t11) = 1;
    t5 = (0 - 0);
    t16 = (t5 * 1);
    t16 = (t16 + 1);
    t11 = (t10 + 12U);
    *((unsigned int *)t11) = t16;
    t11 = (t0 + 6096U);
    t7 = xsi_base_array_concat(t7, t14, t9, (char)97, t2, t15, (char)97, t8, t11, (char)101);
    t17 = (t0 + 6333);
    t19 = (t0 + 1352U);
    t20 = *((char **)t19);
    t22 = ((IEEE_P_2592010699) + 4024);
    t24 = (t23 + 0U);
    t25 = (t24 + 0U);
    *((int *)t25) = 0;
    t25 = (t24 + 4U);
    *((int *)t25) = 0;
    t25 = (t24 + 8U);
    *((int *)t25) = 1;
    t26 = (0 - 0);
    t16 = (t26 * 1);
    t16 = (t16 + 1);
    t25 = (t24 + 12U);
    *((unsigned int *)t25) = t16;
    t25 = (t0 + 6112U);
    t19 = xsi_base_array_concat(t19, t21, t22, (char)97, t17, t23, (char)97, t20, t25, (char)101);
    t27 = ieee_p_1242562249_sub_1547198987_1035706684(IEEE_P_1242562249, t13, t7, t14, t19, t21);
    t28 = (t0 + 6334);
    t30 = (t0 + 1032U);
    t31 = *((char **)t30);
    t6 = *((unsigned char *)t31);
    t33 = ((IEEE_P_1242562249) + 3000);
    t35 = (t34 + 0U);
    t36 = (t35 + 0U);
    *((int *)t36) = 0;
    t36 = (t35 + 4U);
    *((int *)t36) = -1;
    t36 = (t35 + 8U);
    *((int *)t36) = 1;
    t37 = (-1 - 0);
    t16 = (t37 * 1);
    t16 = (t16 + 1);
    t36 = (t35 + 12U);
    *((unsigned int *)t36) = t16;
    t30 = xsi_base_array_concat(t30, t32, t33, (char)97, t28, t34, (char)99, t6, (char)101);
    t36 = ieee_p_1242562249_sub_1547198987_1035706684(IEEE_P_1242562249, t12, t27, t13, t30, t32);
    t38 = (t0 + 3888);
    t39 = (t38 + 56U);
    t40 = *((char **)t39);
    t41 = (t40 + 56U);
    t42 = *((char **)t41);
    memcpy(t42, t36, 9U);
    xsi_driver_first_trans_fast(t38);
    xsi_set_current_line(84, ng0);
    t3 = (10 * 1000LL);
    t2 = (t0 + 3120);
    xsi_process_wait(t2, t3);

LAB49:    *((char **)t1) = &&LAB50;
    goto LAB1;

LAB44:    goto LAB43;

LAB46:    goto LAB44;

LAB47:    xsi_set_current_line(85, ng0);
    t2 = (t0 + 1512U);
    t4 = *((char **)t2);
    t2 = (t0 + 1672U);
    t7 = *((char **)t2);
    t6 = 1;
    if (9U == 9U)
        goto LAB53;

LAB54:    t6 = 0;

LAB55:    if (t6 == 0)
        goto LAB51;

LAB52:    xsi_set_current_line(86, ng0);
    t2 = (t0 + 3696);
    t4 = (t2 + 56U);
    t7 = *((char **)t4);
    t8 = (t7 + 56U);
    t9 = *((char **)t8);
    *((unsigned char *)t9) = (unsigned char)3;
    xsi_driver_first_trans_fast(t2);
    xsi_set_current_line(87, ng0);
    t3 = (1 * 1LL);
    t2 = (t0 + 3120);
    xsi_process_wait(t2, t3);

LAB61:    *((char **)t1) = &&LAB62;
    goto LAB1;

LAB48:    goto LAB47;

LAB50:    goto LAB48;

LAB51:    t9 = (t0 + 6334);
    xsi_report(t9, 22U, (unsigned char)2);
    goto LAB52;

LAB53:    t16 = 0;

LAB56:    if (t16 < 9U)
        goto LAB57;
    else
        goto LAB55;

LAB57:    t2 = (t4 + t16);
    t8 = (t7 + t16);
    if (*((unsigned char *)t2) != *((unsigned char *)t8))
        goto LAB54;

LAB58:    t16 = (t16 + 1);
    goto LAB56;

LAB59:    xsi_set_current_line(88, ng0);
    t2 = (t0 + 6356);
    t7 = (t0 + 1192U);
    t8 = *((char **)t7);
    t9 = ((IEEE_P_2592010699) + 4024);
    t10 = (t15 + 0U);
    t11 = (t10 + 0U);
    *((int *)t11) = 0;
    t11 = (t10 + 4U);
    *((int *)t11) = 0;
    t11 = (t10 + 8U);
    *((int *)t11) = 1;
    t5 = (0 - 0);
    t16 = (t5 * 1);
    t16 = (t16 + 1);
    t11 = (t10 + 12U);
    *((unsigned int *)t11) = t16;
    t11 = (t0 + 6096U);
    t7 = xsi_base_array_concat(t7, t14, t9, (char)97, t2, t15, (char)97, t8, t11, (char)101);
    t17 = (t0 + 6357);
    t19 = (t0 + 1352U);
    t20 = *((char **)t19);
    t22 = ((IEEE_P_2592010699) + 4024);
    t24 = (t23 + 0U);
    t25 = (t24 + 0U);
    *((int *)t25) = 0;
    t25 = (t24 + 4U);
    *((int *)t25) = 0;
    t25 = (t24 + 8U);
    *((int *)t25) = 1;
    t26 = (0 - 0);
    t16 = (t26 * 1);
    t16 = (t16 + 1);
    t25 = (t24 + 12U);
    *((unsigned int *)t25) = t16;
    t25 = (t0 + 6112U);
    t19 = xsi_base_array_concat(t19, t21, t22, (char)97, t17, t23, (char)97, t20, t25, (char)101);
    t27 = ieee_p_1242562249_sub_1547198987_1035706684(IEEE_P_1242562249, t13, t7, t14, t19, t21);
    t28 = (t0 + 6358);
    t30 = (t0 + 1032U);
    t31 = *((char **)t30);
    t6 = *((unsigned char *)t31);
    t33 = ((IEEE_P_1242562249) + 3000);
    t35 = (t34 + 0U);
    t36 = (t35 + 0U);
    *((int *)t36) = 0;
    t36 = (t35 + 4U);
    *((int *)t36) = -1;
    t36 = (t35 + 8U);
    *((int *)t36) = 1;
    t37 = (-1 - 0);
    t16 = (t37 * 1);
    t16 = (t16 + 1);
    t36 = (t35 + 12U);
    *((unsigned int *)t36) = t16;
    t30 = xsi_base_array_concat(t30, t32, t33, (char)97, t28, t34, (char)99, t6, (char)101);
    t36 = ieee_p_1242562249_sub_1547198987_1035706684(IEEE_P_1242562249, t12, t27, t13, t30, t32);
    t38 = (t0 + 3888);
    t39 = (t38 + 56U);
    t40 = *((char **)t39);
    t41 = (t40 + 56U);
    t42 = *((char **)t41);
    memcpy(t42, t36, 9U);
    xsi_driver_first_trans_fast(t38);
    xsi_set_current_line(89, ng0);
    t3 = (10 * 1000LL);
    t2 = (t0 + 3120);
    xsi_process_wait(t2, t3);

LAB65:    *((char **)t1) = &&LAB66;
    goto LAB1;

LAB60:    goto LAB59;

LAB62:    goto LAB60;

LAB63:    xsi_set_current_line(90, ng0);
    t2 = (t0 + 1512U);
    t4 = *((char **)t2);
    t2 = (t0 + 1672U);
    t7 = *((char **)t2);
    t6 = 1;
    if (9U == 9U)
        goto LAB69;

LAB70:    t6 = 0;

LAB71:    if (t6 == 0)
        goto LAB67;

LAB68:    goto LAB9;

LAB64:    goto LAB63;

LAB66:    goto LAB64;

LAB67:    t9 = (t0 + 6358);
    xsi_report(t9, 22U, (unsigned char)2);
    goto LAB68;

LAB69:    t16 = 0;

LAB72:    if (t16 < 9U)
        goto LAB73;
    else
        goto LAB71;

LAB73:    t2 = (t4 + t16);
    t8 = (t7 + t16);
    if (*((unsigned char *)t2) != *((unsigned char *)t8))
        goto LAB70;

LAB74:    t16 = (t16 + 1);
    goto LAB72;

LAB76:    xsi_set_current_line(93, ng0);
    t7 = (t0 + 6380);
    t37 = *((int *)t7);
    t6 = (t37 == 0);
    if (t6 != 0)
        goto LAB79;

LAB81:    xsi_set_current_line(94, ng0);
    t2 = (t0 + 3696);
    t4 = (t2 + 56U);
    t7 = *((char **)t4);
    t8 = (t7 + 56U);
    t9 = *((char **)t8);
    *((unsigned char *)t9) = (unsigned char)3;
    xsi_driver_first_trans_fast(t2);

LAB80:    xsi_set_current_line(96, ng0);
    t2 = (t0 + 1968U);
    t4 = *((char **)t2);
    t37 = *((int *)t4);
    t43 = xsi_vhdl_pow(2, t37);
    t44 = (t43 - 1);
    t2 = (t0 + 6388);
    *((int *)t2) = 0;
    t7 = (t0 + 6392);
    *((int *)t7) = t44;
    t45 = 0;
    t46 = t44;

LAB82:    if (t45 <= t46)
        goto LAB83;

LAB85:
LAB77:    t2 = (t0 + 6380);
    t5 = *((int *)t2);
    t4 = (t0 + 6384);
    t26 = *((int *)t4);
    if (t5 == t26)
        goto LAB78;

LAB104:    t37 = (t5 + 1);
    t5 = t37;
    t7 = (t0 + 6380);
    *((int *)t7) = t5;
    goto LAB75;

LAB79:    xsi_set_current_line(93, ng0);
    t8 = (t0 + 3696);
    t9 = (t8 + 56U);
    t10 = *((char **)t9);
    t11 = (t10 + 56U);
    t17 = *((char **)t11);
    *((unsigned char *)t17) = (unsigned char)2;
    xsi_driver_first_trans_fast(t8);
    goto LAB80;

LAB83:    xsi_set_current_line(97, ng0);
    t8 = (t0 + 1968U);
    t9 = *((char **)t8);
    t47 = *((int *)t9);
    t48 = xsi_vhdl_pow(2, t47);
    t49 = (t48 - 1);
    t8 = (t0 + 6396);
    *((int *)t8) = 0;
    t10 = (t0 + 6400);
    *((int *)t10) = t49;
    t50 = 0;
    t51 = t49;

LAB86:    if (t50 <= t51)
        goto LAB87;

LAB89:
LAB84:    t2 = (t0 + 6388);
    t45 = *((int *)t2);
    t4 = (t0 + 6392);
    t46 = *((int *)t4);
    if (t45 == t46)
        goto LAB85;

LAB103:    t37 = (t45 + 1);
    t45 = t37;
    t7 = (t0 + 6388);
    *((int *)t7) = t45;
    goto LAB82;

LAB87:    xsi_set_current_line(98, ng0);
    t11 = (t0 + 6388);
    t17 = (t0 + 1968U);
    t18 = *((char **)t17);
    t52 = *((int *)t18);
    t17 = ieee_p_1242562249_sub_180853171_1035706684(IEEE_P_1242562249, t12, *((int *)t11), t52);
    t19 = (t0 + 3760);
    t20 = (t19 + 56U);
    t22 = *((char **)t20);
    t24 = (t22 + 56U);
    t25 = *((char **)t24);
    memcpy(t25, t17, 8U);
    xsi_driver_first_trans_fast(t19);
    xsi_set_current_line(99, ng0);
    t2 = (t0 + 6396);
    t4 = (t0 + 1968U);
    t7 = *((char **)t4);
    t37 = *((int *)t7);
    t4 = ieee_p_1242562249_sub_180853171_1035706684(IEEE_P_1242562249, t12, *((int *)t2), t37);
    t8 = (t0 + 3824);
    t9 = (t8 + 56U);
    t10 = *((char **)t9);
    t11 = (t10 + 56U);
    t17 = *((char **)t11);
    memcpy(t17, t4, 8U);
    xsi_driver_first_trans_fast(t8);
    xsi_set_current_line(100, ng0);
    t2 = (t0 + 6388);
    t4 = (t0 + 6396);
    t37 = *((int *)t2);
    t43 = *((int *)t4);
    t44 = (t37 + t43);
    t7 = (t0 + 6380);
    t47 = *((int *)t7);
    t48 = (t44 + t47);
    t8 = (t0 + 1968U);
    t9 = *((char **)t8);
    t49 = *((int *)t9);
    t52 = (t49 + 1);
    t8 = ieee_p_1242562249_sub_180853171_1035706684(IEEE_P_1242562249, t12, t48, t52);
    t10 = (t0 + 3888);
    t11 = (t10 + 56U);
    t17 = *((char **)t11);
    t18 = (t17 + 56U);
    t19 = *((char **)t18);
    memcpy(t19, t8, 9U);
    xsi_driver_first_trans_fast(t10);
    xsi_set_current_line(101, ng0);
    t3 = (10 * 1000LL);
    t2 = (t0 + 3120);
    xsi_process_wait(t2, t3);

LAB92:    *((char **)t1) = &&LAB93;
    goto LAB1;

LAB88:    t2 = (t0 + 6396);
    t50 = *((int *)t2);
    t4 = (t0 + 6400);
    t51 = *((int *)t4);
    if (t50 == t51)
        goto LAB89;

LAB102:    t37 = (t50 + 1);
    t50 = t37;
    t7 = (t0 + 6396);
    *((int *)t7) = t50;
    goto LAB86;

LAB90:    xsi_set_current_line(102, ng0);
    t2 = (t0 + 1512U);
    t4 = *((char **)t2);
    t2 = (t0 + 1672U);
    t7 = *((char **)t2);
    t6 = 1;
    if (9U == 9U)
        goto LAB96;

LAB97:    t6 = 0;

LAB98:    if (t6 == 0)
        goto LAB94;

LAB95:    goto LAB88;

LAB91:    goto LAB90;

LAB93:    goto LAB91;

LAB94:    t9 = (t0 + 6404);
    xsi_report(t9, 22U, (unsigned char)2);
    goto LAB95;

LAB96:    t16 = 0;

LAB99:    if (t16 < 9U)
        goto LAB100;
    else
        goto LAB98;

LAB100:    t2 = (t4 + t16);
    t8 = (t7 + t16);
    if (*((unsigned char *)t2) != *((unsigned char *)t8))
        goto LAB97;

LAB101:    t16 = (t16 + 1);
    goto LAB99;

LAB105:    goto LAB2;

LAB106:    goto LAB105;

LAB108:    goto LAB106;

}