예제 #1
0
static void work_a_1403549566_2204926713_p_1(char *t0)
{
    char *t1;
    char *t2;
    int t3;
    char *t4;
    char *t5;
    char *t6;
    char *t7;
    char *t8;
    char *t9;

LAB0:    xsi_set_current_line(83, ng0);

LAB3:    t1 = (t0 + 776U);
    t2 = *((char **)t1);
    t1 = (t0 + 4720U);
    t3 = ieee_p_1242562249_sub_1657552908_1035706684(IEEE_P_1242562249, t2, t1);
    t4 = (t0 + 2504);
    t5 = (t4 + 32U);
    t6 = *((char **)t5);
    t7 = (t6 + 40U);
    t8 = *((char **)t7);
    *((int *)t8) = t3;
    xsi_driver_first_trans_fast(t4);

LAB2:    t9 = (t0 + 2424);
    *((int *)t9) = 1;

LAB1:    return;
LAB4:    goto LAB2;

}
static void work_a_0219848785_1446275585_p_1(char *t0)
{
    char *t1;
    char *t2;
    char *t3;
    int t4;
    int t5;
    unsigned int t6;
    unsigned int t7;
    unsigned int t8;
    char *t9;
    char *t10;
    char *t11;
    char *t12;
    char *t13;
    char *t14;
    char *t15;

LAB0:    xsi_set_current_line(338, ng0);

LAB3:    t1 = (t0 + 1236U);
    t2 = *((char **)t1);
    t1 = (t0 + 1144U);
    t3 = *((char **)t1);
    t1 = (t0 + 4640U);
    t4 = ieee_p_1242562249_sub_1657552908_1035706684(IEEE_P_1242562249, t3, t1);
    t5 = (t4 - 0);
    t6 = (t5 * 1);
    xsi_vhdl_check_range_of_index(0, 4095, 1, t4);
    t7 = (8U * t6);
    t8 = (0 + t7);
    t9 = (t2 + t8);
    t10 = (t0 + 2496);
    t11 = (t10 + 32U);
    t12 = *((char **)t11);
    t13 = (t12 + 40U);
    t14 = *((char **)t13);
    memcpy(t14, t9, 8U);
    xsi_driver_first_trans_fast_port(t10);

LAB2:    t15 = (t0 + 2380);
    *((int *)t15) = 1;

LAB1:    return;
LAB4:    goto LAB2;

}
static void spi_a_2090800054_3212880686_p_1(char *t0)
{
    char *t1;
    char *t2;
    char *t3;
    int t4;
    int t5;
    unsigned int t6;
    unsigned int t7;
    unsigned int t8;
    char *t9;
    char *t10;
    char *t11;
    char *t12;
    char *t13;
    char *t14;
    char *t15;

LAB0:    xsi_set_current_line(46, ng0);

LAB3:    t1 = (t0 + 2312U);
    t2 = *((char **)t1);
    t1 = (t0 + 2952U);
    t3 = *((char **)t1);
    t1 = (t0 + 13136U);
    t4 = ieee_p_1242562249_sub_1657552908_1035706684(IEEE_P_1242562249, t3, t1);
    t5 = (t4 - 3);
    t6 = (t5 * -1);
    xsi_vhdl_check_range_of_index(3, 0, -1, t4);
    t7 = (8U * t6);
    t8 = (0 + t7);
    t9 = (t2 + t8);
    t10 = (t0 + 8472);
    t11 = (t10 + 56U);
    t12 = *((char **)t11);
    t13 = (t12 + 56U);
    t14 = *((char **)t13);
    memcpy(t14, t9, 8U);
    xsi_driver_first_trans_fast_port(t10);

LAB2:    t15 = (t0 + 8200);
    *((int *)t15) = 1;

LAB1:    return;
LAB4:    goto LAB2;

}
static void work_a_3807207624_3212880686_p_1(char *t0)
{
    char *t1;
    char *t2;
    char *t3;
    int t4;
    int t5;
    unsigned int t6;
    unsigned int t7;
    unsigned int t8;
    char *t9;
    char *t10;
    char *t11;
    char *t12;
    char *t13;
    char *t14;
    char *t15;

LAB0:    xsi_set_current_line(69, ng0);

LAB3:    t1 = (t0 + 2152U);
    t2 = *((char **)t1);
    t1 = (t0 + 1512U);
    t3 = *((char **)t1);
    t1 = (t0 + 7400U);
    t4 = ieee_p_1242562249_sub_1657552908_1035706684(IEEE_P_1242562249, t3, t1);
    t5 = (t4 - 31);
    t6 = (t5 * -1);
    xsi_vhdl_check_range_of_index(31, 0, -1, t4);
    t7 = (16U * t6);
    t8 = (0 + t7);
    t9 = (t2 + t8);
    t10 = (t0 + 4400);
    t11 = (t10 + 56U);
    t12 = *((char **)t11);
    t13 = (t12 + 56U);
    t14 = *((char **)t13);
    memcpy(t14, t9, 16U);
    xsi_driver_first_trans_fast_port(t10);

LAB2:    t15 = (t0 + 4256);
    *((int *)t15) = 1;

LAB1:    return;
LAB4:    goto LAB2;

}
static void work_a_2682690123_3212880686_p_0(char *t0)
{
    char *t1;
    char *t2;
    char *t3;
    int t4;
    int t5;
    unsigned int t6;
    unsigned int t7;
    unsigned int t8;
    char *t9;
    char *t10;
    char *t11;
    char *t12;
    char *t13;
    char *t14;
    char *t15;

LAB0:    xsi_set_current_line(65, ng0);

LAB3:    t1 = (t0 + 1648U);
    t2 = *((char **)t1);
    t1 = (t0 + 1032U);
    t3 = *((char **)t1);
    t1 = (t0 + 5332U);
    t4 = ieee_p_1242562249_sub_1657552908_1035706684(IEEE_P_1242562249, t3, t1);
    t5 = (t4 - 0);
    t6 = (t5 * 1);
    xsi_vhdl_check_range_of_index(0, 15, 1, t4);
    t7 = (4U * t6);
    t8 = (0 + t7);
    t9 = (t2 + t8);
    t10 = (t0 + 3032);
    t11 = (t10 + 56U);
    t12 = *((char **)t11);
    t13 = (t12 + 56U);
    t14 = *((char **)t13);
    memcpy(t14, t9, 4U);
    xsi_driver_first_trans_fast_port(t10);

LAB2:    t15 = (t0 + 2952);
    *((int *)t15) = 1;

LAB1:    return;
LAB4:    goto LAB2;

}
예제 #6
0
static void work_a_0273445478_3212880686_p_0(char *t0)
{
    char t5[16];
    char *t1;
    char *t2;
    char *t3;
    char *t4;
    char *t6;
    char *t7;
    int t8;
    unsigned int t9;
    unsigned char t10;
    char *t11;
    char *t12;
    char *t13;
    char *t14;
    char *t15;
    unsigned int t16;

LAB0:    xsi_set_current_line(53, ng0);
    t1 = (t0 + 1192U);
    t2 = *((char **)t1);
    t1 = (t0 + 5000U);
    t3 = (t0 + 5136);
    t6 = (t5 + 0U);
    t7 = (t6 + 0U);
    *((int *)t7) = 0;
    t7 = (t6 + 4U);
    *((int *)t7) = 9;
    t7 = (t6 + 8U);
    *((int *)t7) = 1;
    t8 = (9 - 0);
    t9 = (t8 * 1);
    t9 = (t9 + 1);
    t7 = (t6 + 12U);
    *((unsigned int *)t7) = t9;
    t10 = ieee_p_3620187407_sub_4058165771_3965413181(IEEE_P_3620187407, t2, t1, t3, t5);
    if (t10 != 0)
        goto LAB2;

LAB4:    xsi_set_current_line(60, ng0);
    t1 = (t0 + 1032U);
    t2 = *((char **)t1);
    t1 = (t0 + 3360);
    t3 = (t1 + 56U);
    t4 = *((char **)t3);
    t6 = (t4 + 56U);
    t7 = *((char **)t6);
    memcpy(t7, t2, 24U);
    xsi_driver_first_trans_fast_port(t1);

LAB3:    t1 = (t0 + 3152);
    *((int *)t1) = 1;

LAB1:    return;
LAB2:    xsi_set_current_line(54, ng0);
    t7 = (t0 + 1192U);
    t11 = *((char **)t7);
    t7 = (t0 + 3232);
    t12 = (t7 + 56U);
    t13 = *((char **)t12);
    t14 = (t13 + 56U);
    t15 = *((char **)t14);
    memcpy(t15, t11, 8U);
    xsi_driver_first_trans_fast(t7);
    xsi_set_current_line(55, ng0);
    t1 = (t0 + 1032U);
    t2 = *((char **)t1);
    t1 = (t0 + 4984U);
    t3 = (t0 + 1512U);
    t4 = *((char **)t3);
    t3 = (t0 + 5032U);
    t8 = ieee_p_1242562249_sub_1657552908_1035706684(IEEE_P_1242562249, t4, t3);
    t6 = ieee_p_1242562249_sub_2547962040_1035706684(IEEE_P_1242562249, t5, t2, t1, t8);
    t7 = (t5 + 12U);
    t9 = *((unsigned int *)t7);
    t16 = (1U * t9);
    t10 = (24U != t16);
    if (t10 == 1)
        goto LAB5;

LAB6:    t11 = (t0 + 3296);
    t12 = (t11 + 56U);
    t13 = *((char **)t12);
    t14 = (t13 + 56U);
    t15 = *((char **)t14);
    memcpy(t15, t6, 24U);
    xsi_driver_first_trans_fast(t11);
    xsi_set_current_line(56, ng0);
    t1 = (t0 + 1672U);
    t2 = *((char **)t1);
    t1 = (t0 + 3360);
    t3 = (t1 + 56U);
    t4 = *((char **)t3);
    t6 = (t4 + 56U);
    t7 = *((char **)t6);
    memcpy(t7, t2, 24U);
    xsi_driver_first_trans_fast_port(t1);
    goto LAB3;

LAB5:    xsi_size_not_matching(24U, t16, 0);
    goto LAB6;

}
static void work_a_4143924007_3212880686_p_1(char *t0)
{
    char *t1;
    char *t2;
    unsigned char t3;
    char *t4;
    char *t5;
    char *t6;
    char *t7;
    unsigned char t8;
    unsigned char t9;
    char *t10;
    char *t11;
    int t12;
    static char *nl0[] = {&&LAB4, &&LAB5, &&LAB6, &&LAB7, &&LAB3, &&LAB8, &&LAB9};

LAB0:    xsi_set_current_line(325, ng0);
    t1 = (t0 + 5032U);
    t2 = *((char **)t1);
    t3 = *((unsigned char *)t2);
    t1 = (t0 + 8448);
    t4 = (t1 + 56U);
    t5 = *((char **)t4);
    t6 = (t5 + 56U);
    t7 = *((char **)t6);
    *((unsigned char *)t7) = t3;
    xsi_driver_first_trans_fast(t1);
    xsi_set_current_line(327, ng0);
    t1 = (t0 + 5032U);
    t2 = *((char **)t1);
    t3 = *((unsigned char *)t2);
    t1 = (char *)((nl0) + t3);
    goto **((char **)t1);

LAB2:    t1 = (t0 + 7904);
    *((int *)t1) = 1;

LAB1:    return;
LAB3:    xsi_set_current_line(330, ng0);
    t4 = (t0 + 1352U);
    t5 = *((char **)t4);
    t8 = *((unsigned char *)t5);
    t9 = (t8 == (unsigned char)2);
    if (t9 != 0)
        goto LAB10;

LAB12:    xsi_set_current_line(333, ng0);
    t1 = (t0 + 8448);
    t2 = (t1 + 56U);
    t4 = *((char **)t2);
    t5 = (t4 + 56U);
    t6 = *((char **)t5);
    *((unsigned char *)t6) = (unsigned char)0;
    xsi_driver_first_trans_fast(t1);

LAB11:    goto LAB2;

LAB4:    xsi_set_current_line(337, ng0);
    t1 = (t0 + 4552U);
    t2 = *((char **)t1);
    t12 = *((int *)t2);
    t3 = (t12 == 15);
    if (t3 != 0)
        goto LAB13;

LAB15:    xsi_set_current_line(340, ng0);
    t1 = (t0 + 8448);
    t2 = (t1 + 56U);
    t4 = *((char **)t2);
    t5 = (t4 + 56U);
    t6 = *((char **)t5);
    *((unsigned char *)t6) = (unsigned char)0;
    xsi_driver_first_trans_fast(t1);

LAB14:    goto LAB2;

LAB5:    xsi_set_current_line(344, ng0);
    t1 = (t0 + 4552U);
    t2 = *((char **)t1);
    t12 = *((int *)t2);
    t3 = (t12 == 31);
    if (t3 != 0)
        goto LAB16;

LAB18:    xsi_set_current_line(347, ng0);
    t1 = (t0 + 8448);
    t2 = (t1 + 56U);
    t4 = *((char **)t2);
    t5 = (t4 + 56U);
    t6 = *((char **)t5);
    *((unsigned char *)t6) = (unsigned char)1;
    xsi_driver_first_trans_fast(t1);

LAB17:    goto LAB2;

LAB6:    xsi_set_current_line(351, ng0);
    t1 = (t0 + 4552U);
    t2 = *((char **)t1);
    t12 = *((int *)t2);
    t3 = (t12 == 47);
    if (t3 != 0)
        goto LAB19;

LAB21:    xsi_set_current_line(354, ng0);
    t1 = (t0 + 8448);
    t2 = (t1 + 56U);
    t4 = *((char **)t2);
    t5 = (t4 + 56U);
    t6 = *((char **)t5);
    *((unsigned char *)t6) = (unsigned char)2;
    xsi_driver_first_trans_fast(t1);

LAB20:    goto LAB2;

LAB7:    xsi_set_current_line(358, ng0);
    t1 = (t0 + 4552U);
    t2 = *((char **)t1);
    t12 = *((int *)t2);
    t3 = (t12 == 63);
    if (t3 != 0)
        goto LAB22;

LAB24:    xsi_set_current_line(361, ng0);
    t1 = (t0 + 8448);
    t2 = (t1 + 56U);
    t4 = *((char **)t2);
    t5 = (t4 + 56U);
    t6 = *((char **)t5);
    *((unsigned char *)t6) = (unsigned char)3;
    xsi_driver_first_trans_fast(t1);

LAB23:    goto LAB2;

LAB8:    xsi_set_current_line(365, ng0);
    t1 = (t0 + 8448);
    t2 = (t1 + 56U);
    t4 = *((char **)t2);
    t5 = (t4 + 56U);
    t6 = *((char **)t5);
    *((unsigned char *)t6) = (unsigned char)4;
    xsi_driver_first_trans_fast(t1);
    goto LAB2;

LAB9:    xsi_set_current_line(368, ng0);
    goto LAB2;

LAB10:    xsi_set_current_line(331, ng0);
    t4 = (t0 + 8448);
    t6 = (t4 + 56U);
    t7 = *((char **)t6);
    t10 = (t7 + 56U);
    t11 = *((char **)t10);
    *((unsigned char *)t11) = (unsigned char)4;
    xsi_driver_first_trans_fast(t4);
    goto LAB11;

LAB13:    xsi_set_current_line(338, ng0);
    t1 = (t0 + 8448);
    t4 = (t1 + 56U);
    t5 = *((char **)t4);
    t6 = (t5 + 56U);
    t7 = *((char **)t6);
    *((unsigned char *)t7) = (unsigned char)1;
    xsi_driver_first_trans_fast(t1);
    goto LAB14;

LAB16:    xsi_set_current_line(345, ng0);
    t1 = (t0 + 8448);
    t4 = (t1 + 56U);
    t5 = *((char **)t4);
    t6 = (t5 + 56U);
    t7 = *((char **)t6);
    *((unsigned char *)t7) = (unsigned char)2;
    xsi_driver_first_trans_fast(t1);
    goto LAB17;

LAB19:    xsi_set_current_line(352, ng0);
    t1 = (t0 + 8448);
    t4 = (t1 + 56U);
    t5 = *((char **)t4);
    t6 = (t5 + 56U);
    t7 = *((char **)t6);
    *((unsigned char *)t7) = (unsigned char)3;
    xsi_driver_first_trans_fast(t1);
    goto LAB20;

LAB22:    xsi_set_current_line(359, ng0);
    t1 = (t0 + 8448);
    t4 = (t1 + 56U);
    t5 = *((char **)t4);
    t6 = (t5 + 56U);
    t7 = *((char **)t6);
    *((unsigned char *)t7) = (unsigned char)5;
    xsi_driver_first_trans_fast(t1);
    goto LAB23;

}

static void work_a_4143924007_3212880686_p_2(char *t0)
{
    char t8[16];
    char t9[16];
    char t10[16];
    char t11[16];
    char t12[16];
    char t18[16];
    char t30[16];
    char t45[16];
    char *t1;
    char *t2;
    char *t3;
    char *t4;
    char *t5;
    char *t6;
    char *t7;
    int t13;
    int t14;
    unsigned int t15;
    unsigned int t16;
    unsigned int t17;
    char *t19;
    char *t20;
    int t21;
    unsigned int t22;
    char *t23;
    char *t24;
    char *t25;
    int t26;
    int t27;
    unsigned int t28;
    unsigned int t29;
    char *t31;
    char *t32;
    int t33;
    unsigned int t34;
    char *t35;
    char *t36;
    char *t37;
    char *t38;
    char *t39;
    char *t40;
    int t41;
    int t42;
    unsigned int t43;
    unsigned int t44;
    char *t46;
    char *t47;
    int t48;
    unsigned int t49;
    int t50;
    char *t51;
    char *t52;
    unsigned int t53;
    unsigned char t54;
    char *t55;
    char *t56;
    char *t57;
    char *t58;
    char *t59;
    static char *nl0[] = {&&LAB9, &&LAB10, &&LAB11, &&LAB12, &&LAB8, &&LAB13, &&LAB14};

LAB0:    xsi_set_current_line(377, ng0);
    t1 = (t0 + 8512);
    t2 = (t1 + 56U);
    t3 = *((char **)t2);
    t4 = (t3 + 56U);
    t5 = *((char **)t4);
    *((unsigned char *)t5) = (unsigned char)2;
    xsi_driver_first_trans_fast_port(t1);
    xsi_set_current_line(378, ng0);
    t1 = xsi_get_transient_memory(32U);
    memset(t1, 0, 32U);
    t2 = t1;
    memset(t2, (unsigned char)2, 32U);
    t3 = (t0 + 8576);
    t4 = (t3 + 56U);
    t5 = *((char **)t4);
    t6 = (t5 + 56U);
    t7 = *((char **)t6);
    memcpy(t7, t1, 32U);
    xsi_driver_first_trans_fast_port(t3);
    xsi_set_current_line(379, ng0);
    t1 = xsi_get_transient_memory(32U);
    memset(t1, 0, 32U);
    t2 = t1;
    memset(t2, (unsigned char)2, 32U);
    t3 = (t0 + 8640);
    t4 = (t3 + 56U);
    t5 = *((char **)t4);
    t6 = (t5 + 56U);
    t7 = *((char **)t6);
    memcpy(t7, t1, 32U);
    xsi_driver_first_trans_fast_port(t3);
    xsi_set_current_line(380, ng0);
    t1 = xsi_get_transient_memory(32U);
    memset(t1, 0, 32U);
    t2 = t1;
    memset(t2, (unsigned char)2, 32U);
    t3 = (t0 + 8704);
    t4 = (t3 + 56U);
    t5 = *((char **)t4);
    t6 = (t5 + 56U);
    t7 = *((char **)t6);
    memcpy(t7, t1, 32U);
    xsi_driver_first_trans_fast_port(t3);
    xsi_set_current_line(381, ng0);
    t1 = xsi_get_transient_memory(32U);
    memset(t1, 0, 32U);
    t2 = t1;
    memset(t2, (unsigned char)2, 32U);
    t3 = (t0 + 8768);
    t4 = (t3 + 56U);
    t5 = *((char **)t4);
    t6 = (t5 + 56U);
    t7 = *((char **)t6);
    memcpy(t7, t1, 32U);
    xsi_driver_first_trans_fast_port(t3);
    xsi_set_current_line(382, ng0);
    t1 = xsi_get_transient_memory(32U);
    memset(t1, 0, 32U);
    t2 = t1;
    memset(t2, (unsigned char)2, 32U);
    t3 = (t0 + 8832);
    t4 = (t3 + 56U);
    t5 = *((char **)t4);
    t6 = (t5 + 56U);
    t7 = *((char **)t6);
    memcpy(t7, t1, 32U);
    xsi_driver_first_trans_fast(t3);
    xsi_set_current_line(383, ng0);
    t1 = (t0 + 8896);
    t2 = (t1 + 56U);
    t3 = *((char **)t2);
    t4 = (t3 + 56U);
    t5 = *((char **)t4);
    *((int *)t5) = 0;
    xsi_driver_first_trans_fast(t1);
    xsi_set_current_line(385, ng0);
    t1 = (t0 + 2792U);
    t2 = *((char **)t1);
    t1 = (t0 + 8960);
    t3 = (t1 + 56U);
    t4 = *((char **)t3);
    t5 = (t4 + 56U);
    t6 = *((char **)t5);
    memcpy(t6, t2, 512U);
    xsi_driver_first_trans_fast(t1);
    xsi_set_current_line(409, ng0);
    t1 = (t0 + 3752U);
    t2 = *((char **)t1);
    t1 = (t0 + 9024);
    t3 = (t1 + 56U);
    t4 = *((char **)t3);
    t5 = (t4 + 56U);
    t6 = *((char **)t5);
    memcpy(t6, t2, 32U);
    xsi_driver_first_trans_fast(t1);
    xsi_set_current_line(410, ng0);
    t1 = (t0 + 3432U);
    t2 = *((char **)t1);
    t1 = (t0 + 9088);
    t3 = (t1 + 56U);
    t4 = *((char **)t3);
    t5 = (t4 + 56U);
    t6 = *((char **)t5);
    memcpy(t6, t2, 32U);
    xsi_driver_first_trans_fast(t1);
    xsi_set_current_line(411, ng0);
    t1 = (t0 + 3432U);
    t2 = *((char **)t1);
    t1 = (t0 + 15204U);
    t3 = (t0 + 3112U);
    t4 = *((char **)t3);
    t3 = (t0 + 15204U);
    t5 = (t0 + 6088U);
    t6 = *((char **)t5);
    t5 = (t0 + 4552U);
    t7 = *((char **)t5);
    t13 = *((int *)t7);
    t14 = (t13 - 63);
    t15 = (t14 * -1);
    xsi_vhdl_check_range_of_index(63, 0, -1, t13);
    t16 = (32U * t15);
    t17 = (0 + t16);
    t5 = (t6 + t17);
    t19 = (t18 + 0U);
    t20 = (t19 + 0U);
    *((int *)t20) = 31;
    t20 = (t19 + 4U);
    *((int *)t20) = 0;
    t20 = (t19 + 8U);
    *((int *)t20) = -1;
    t21 = (0 - 31);
    t22 = (t21 * -1);
    t22 = (t22 + 1);
    t20 = (t19 + 12U);
    *((unsigned int *)t20) = t22;
    t20 = ieee_p_1242562249_sub_1547198987_1035706684(IEEE_P_1242562249, t12, t4, t3, t5, t18);
    t23 = (t0 + 2792U);
    t24 = *((char **)t23);
    t23 = (t0 + 4872U);
    t25 = *((char **)t23);
    t26 = *((int *)t25);
    t27 = (t26 - 15);
    t22 = (t27 * -1);
    xsi_vhdl_check_range_of_index(15, 0, -1, t26);
    t28 = (32U * t22);
    t29 = (0 + t28);
    t23 = (t24 + t29);
    t31 = (t30 + 0U);
    t32 = (t31 + 0U);
    *((int *)t32) = 31;
    t32 = (t31 + 4U);
    *((int *)t32) = 0;
    t32 = (t31 + 8U);
    *((int *)t32) = -1;
    t33 = (0 - 31);
    t34 = (t33 * -1);
    t34 = (t34 + 1);
    t32 = (t31 + 12U);
    *((unsigned int *)t32) = t34;
    t32 = ieee_p_1242562249_sub_1547198987_1035706684(IEEE_P_1242562249, t11, t20, t12, t23, t30);
    t35 = (t0 + 4392U);
    t36 = *((char **)t35);
    t35 = (t0 + 15204U);
    t37 = ieee_p_1242562249_sub_1547198987_1035706684(IEEE_P_1242562249, t10, t32, t11, t36, t35);
    t38 = (t0 + 5968U);
    t39 = *((char **)t38);
    t38 = (t0 + 4552U);
    t40 = *((char **)t38);
    t41 = *((int *)t40);
    t42 = (t41 - 63);
    t34 = (t42 * -1);
    xsi_vhdl_check_range_of_index(63, 0, -1, t41);
    t43 = (8U * t34);
    t44 = (0 + t43);
    t38 = (t39 + t44);
    t46 = (t45 + 0U);
    t47 = (t46 + 0U);
    *((int *)t47) = 7;
    t47 = (t46 + 4U);
    *((int *)t47) = 0;
    t47 = (t46 + 8U);
    *((int *)t47) = -1;
    t48 = (0 - 7);
    t49 = (t48 * -1);
    t49 = (t49 + 1);
    t47 = (t46 + 12U);
    *((unsigned int *)t47) = t49;
    t50 = ieee_p_1242562249_sub_1657552908_1035706684(IEEE_P_1242562249, t38, t45);
    t47 = ieee_p_1242562249_sub_4109847776_1035706684(IEEE_P_1242562249, t9, t37, t10, t50);
    t51 = ieee_p_1242562249_sub_1547198987_1035706684(IEEE_P_1242562249, t8, t2, t1, t47, t9);
    t52 = (t8 + 12U);
    t49 = *((unsigned int *)t52);
    t53 = (1U * t49);
    t54 = (32U != t53);
    if (t54 == 1)
        goto LAB2;

LAB3:    t55 = (t0 + 9152);
    t56 = (t55 + 56U);
    t57 = *((char **)t56);
    t58 = (t57 + 56U);
    t59 = *((char **)t58);
    memcpy(t59, t51, 32U);
    xsi_driver_first_trans_fast(t55);
    xsi_set_current_line(413, ng0);
    t1 = (t0 + 4072U);
    t2 = *((char **)t1);
    t1 = (t0 + 9216);
    t3 = (t1 + 56U);
    t4 = *((char **)t3);
    t5 = (t4 + 56U);
    t6 = *((char **)t5);
    memcpy(t6, t2, 32U);
    xsi_driver_first_trans_fast(t1);
    xsi_set_current_line(414, ng0);
    t1 = (t0 + 4552U);
    t2 = *((char **)t1);
    t13 = *((int *)t2);
    t54 = (t13 < 63);
    if (t54 != 0)
        goto LAB4;

LAB6:    xsi_set_current_line(417, ng0);
    t1 = (t0 + 4552U);
    t2 = *((char **)t1);
    t13 = *((int *)t2);
    t1 = (t0 + 9280);
    t3 = (t1 + 56U);
    t4 = *((char **)t3);
    t5 = (t4 + 56U);
    t6 = *((char **)t5);
    *((int *)t6) = t13;
    xsi_driver_first_trans_fast(t1);

LAB5:    xsi_set_current_line(420, ng0);
    t1 = (t0 + 5032U);
    t2 = *((char **)t1);
    t54 = *((unsigned char *)t2);
    t1 = (char *)((nl0) + t54);
    goto **((char **)t1);

LAB2:    xsi_size_not_matching(32U, t53, 0);
    goto LAB3;

LAB4:    xsi_set_current_line(415, ng0);
    t1 = (t0 + 4552U);
    t3 = *((char **)t1);
    t14 = *((int *)t3);
    t21 = (t14 + 1);
    t1 = (t0 + 9280);
    t4 = (t1 + 56U);
    t5 = *((char **)t4);
    t6 = (t5 + 56U);
    t7 = *((char **)t6);
    *((int *)t7) = t21;
    xsi_driver_first_trans_fast(t1);
    goto LAB5;

LAB7:    t1 = (t0 + 7920);
    *((int *)t1) = 1;

LAB1:    return;
LAB8:    xsi_set_current_line(423, ng0);
    t3 = (t0 + 9280);
    t4 = (t3 + 56U);
    t5 = *((char **)t4);
    t6 = (t5 + 56U);
    t7 = *((char **)t6);
    *((int *)t7) = 0;
    xsi_driver_first_trans_fast(t3);
    xsi_set_current_line(424, ng0);
    t1 = (t0 + 5488U);
    t2 = *((char **)t1);
    t1 = (t0 + 9216);
    t3 = (t1 + 56U);
    t4 = *((char **)t3);
    t5 = (t4 + 56U);
    t6 = *((char **)t5);
    memcpy(t6, t2, 32U);
    xsi_driver_first_trans_fast(t1);
    xsi_set_current_line(425, ng0);
    t1 = (t0 + 5608U);
    t2 = *((char **)t1);
    t1 = (t0 + 9152);
    t3 = (t1 + 56U);
    t4 = *((char **)t3);
    t5 = (t4 + 56U);
    t6 = *((char **)t5);
    memcpy(t6, t2, 32U);
    xsi_driver_first_trans_fast(t1);
    xsi_set_current_line(426, ng0);
    t1 = (t0 + 5728U);
    t2 = *((char **)t1);
    t1 = (t0 + 9088);
    t3 = (t1 + 56U);
    t4 = *((char **)t3);
    t5 = (t4 + 56U);
    t6 = *((char **)t5);
    memcpy(t6, t2, 32U);
    xsi_driver_first_trans_fast(t1);
    xsi_set_current_line(427, ng0);
    t1 = (t0 + 5848U);
    t2 = *((char **)t1);
    t1 = (t0 + 9024);
    t3 = (t1 + 56U);
    t4 = *((char **)t3);
    t5 = (t4 + 56U);
    t6 = *((char **)t5);
    memcpy(t6, t2, 32U);
    xsi_driver_first_trans_fast(t1);
    xsi_set_current_line(429, ng0);
    t1 = (t0 + 1512U);
    t2 = *((char **)t1);
    t1 = (t0 + 8960);
    t3 = (t1 + 56U);
    t4 = *((char **)t3);
    t5 = (t4 + 56U);
    t6 = *((char **)t5);
    memcpy(t6, t2, 32U);
    xsi_driver_first_trans_delta(t1, 480U, 32U, 0LL);
    xsi_set_current_line(430, ng0);
    t1 = (t0 + 1672U);
    t2 = *((char **)t1);
    t1 = (t0 + 8960);
    t3 = (t1 + 56U);
    t4 = *((char **)t3);
    t5 = (t4 + 56U);
    t6 = *((char **)t5);
    memcpy(t6, t2, 32U);
    xsi_driver_first_trans_delta(t1, 448U, 32U, 0LL);
    xsi_set_current_line(431, ng0);
    t1 = (t0 + 19414);
    t3 = (t0 + 1832U);
    t4 = *((char **)t3);
    t5 = ((IEEE_P_2592010699) + 4024);
    t6 = (t9 + 0U);
    t7 = (t6 + 0U);
    *((int *)t7) = 0;
    t7 = (t6 + 4U);
    *((int *)t7) = 23;
    t7 = (t6 + 8U);
    *((int *)t7) = 1;
    t13 = (23 - 0);
    t15 = (t13 * 1);
    t15 = (t15 + 1);
    t7 = (t6 + 12U);
    *((unsigned int *)t7) = t15;
    t7 = (t0 + 15028U);
    t3 = xsi_base_array_concat(t3, t8, t5, (char)97, t1, t9, (char)97, t4, t7, (char)101);
    t19 = (t0 + 8960);
    t20 = (t19 + 56U);
    t23 = *((char **)t20);
    t24 = (t23 + 56U);
    t25 = *((char **)t24);
    memcpy(t25, t3, 32U);
    xsi_driver_first_trans_delta(t19, 32U, 32U, 0LL);
    goto LAB7;

LAB9:    xsi_set_current_line(433, ng0);
    t1 = (t0 + 3432U);
    t2 = *((char **)t1);
    t1 = (t0 + 15204U);
    t3 = (t0 + 3752U);
    t4 = *((char **)t3);
    t3 = (t0 + 15204U);
    t5 = ieee_p_1242562249_sub_1854260743_1035706684(IEEE_P_1242562249, t9, t2, t1, t4, t3);
    t6 = (t0 + 3432U);
    t7 = *((char **)t6);
    t6 = (t0 + 15204U);
    t19 = ieee_p_1242562249_sub_2892387531_1035706684(IEEE_P_1242562249, t11, t7, t6);
    t20 = (t0 + 4072U);
    t23 = *((char **)t20);
    t20 = (t0 + 15204U);
    t24 = ieee_p_1242562249_sub_1854260743_1035706684(IEEE_P_1242562249, t10, t19, t11, t23, t20);
    t25 = ieee_p_1242562249_sub_2794316277_1035706684(IEEE_P_1242562249, t8, t5, t9, t24, t10);
    t31 = (t8 + 12U);
    t15 = *((unsigned int *)t31);
    t16 = (1U * t15);
    t54 = (32U != t16);
    if (t54 == 1)
        goto LAB15;

LAB16:    t32 = (t0 + 8832);
    t35 = (t32 + 56U);
    t36 = *((char **)t35);
    t37 = (t36 + 56U);
    t38 = *((char **)t37);
    memcpy(t38, t25, 32U);
    xsi_driver_first_trans_fast(t32);
    xsi_set_current_line(434, ng0);
    t1 = (t0 + 4552U);
    t2 = *((char **)t1);
    t13 = *((int *)t2);
    t1 = (t0 + 8896);
    t3 = (t1 + 56U);
    t4 = *((char **)t3);
    t5 = (t4 + 56U);
    t6 = *((char **)t5);
    *((int *)t6) = t13;
    xsi_driver_first_trans_fast(t1);
    goto LAB7;

LAB10:    xsi_set_current_line(436, ng0);
    t1 = (t0 + 4072U);
    t2 = *((char **)t1);
    t1 = (t0 + 15204U);
    t3 = (t0 + 3432U);
    t4 = *((char **)t3);
    t3 = (t0 + 15204U);
    t5 = ieee_p_1242562249_sub_1854260743_1035706684(IEEE_P_1242562249, t9, t2, t1, t4, t3);
    t6 = (t0 + 4072U);
    t7 = *((char **)t6);
    t6 = (t0 + 15204U);
    t19 = ieee_p_1242562249_sub_2892387531_1035706684(IEEE_P_1242562249, t11, t7, t6);
    t20 = (t0 + 3752U);
    t23 = *((char **)t20);
    t20 = (t0 + 15204U);
    t24 = ieee_p_1242562249_sub_1854260743_1035706684(IEEE_P_1242562249, t10, t19, t11, t23, t20);
    t25 = ieee_p_1242562249_sub_2794316277_1035706684(IEEE_P_1242562249, t8, t5, t9, t24, t10);
    t31 = (t8 + 12U);
    t15 = *((unsigned int *)t31);
    t16 = (1U * t15);
    t54 = (32U != t16);
    if (t54 == 1)
        goto LAB17;

LAB18:    t32 = (t0 + 8832);
    t35 = (t32 + 56U);
    t36 = *((char **)t35);
    t37 = (t36 + 56U);
    t38 = *((char **)t37);
    memcpy(t38, t25, 32U);
    xsi_driver_first_trans_fast(t32);
    xsi_set_current_line(437, ng0);
    t1 = (t0 + 4552U);
    t2 = *((char **)t1);
    t13 = *((int *)t2);
    t14 = (5 * t13);
    t21 = (t14 + 1);
    t26 = xsi_vhdl_mod(t21, 16);
    t1 = (t0 + 8896);
    t3 = (t1 + 56U);
    t4 = *((char **)t3);
    t5 = (t4 + 56U);
    t6 = *((char **)t5);
    *((int *)t6) = t26;
    xsi_driver_first_trans_fast(t1);
    goto LAB7;

LAB11:    xsi_set_current_line(439, ng0);
    t1 = (t0 + 3432U);
    t2 = *((char **)t1);
    t1 = (t0 + 15204U);
    t3 = (t0 + 3752U);
    t4 = *((char **)t3);
    t3 = (t0 + 15204U);
    t5 = ieee_p_1242562249_sub_2756063821_1035706684(IEEE_P_1242562249, t9, t2, t1, t4, t3);
    t6 = (t0 + 4072U);
    t7 = *((char **)t6);
    t6 = (t0 + 15204U);
    t19 = ieee_p_1242562249_sub_2756063821_1035706684(IEEE_P_1242562249, t8, t5, t9, t7, t6);
    t20 = (t8 + 12U);
    t15 = *((unsigned int *)t20);
    t16 = (1U * t15);
    t54 = (32U != t16);
    if (t54 == 1)
        goto LAB19;

LAB20:    t23 = (t0 + 8832);
    t24 = (t23 + 56U);
    t25 = *((char **)t24);
    t31 = (t25 + 56U);
    t32 = *((char **)t31);
    memcpy(t32, t19, 32U);
    xsi_driver_first_trans_fast(t23);
    xsi_set_current_line(440, ng0);
    t1 = (t0 + 4552U);
    t2 = *((char **)t1);
    t13 = *((int *)t2);
    t14 = (3 * t13);
    t21 = (t14 + 5);
    t26 = xsi_vhdl_mod(t21, 16);
    t1 = (t0 + 8896);
    t3 = (t1 + 56U);
    t4 = *((char **)t3);
    t5 = (t4 + 56U);
    t6 = *((char **)t5);
    *((int *)t6) = t26;
    xsi_driver_first_trans_fast(t1);
    goto LAB7;

LAB12:    xsi_set_current_line(442, ng0);
    t1 = (t0 + 3752U);
    t2 = *((char **)t1);
    t1 = (t0 + 15204U);
    t3 = (t0 + 3432U);
    t4 = *((char **)t3);
    t3 = (t0 + 15204U);
    t5 = (t0 + 4072U);
    t6 = *((char **)t5);
    t5 = (t0 + 15204U);
    t7 = ieee_p_1242562249_sub_2892387531_1035706684(IEEE_P_1242562249, t10, t6, t5);
    t19 = ieee_p_1242562249_sub_2794316277_1035706684(IEEE_P_1242562249, t9, t4, t3, t7, t10);
    t20 = ieee_p_1242562249_sub_2756063821_1035706684(IEEE_P_1242562249, t8, t2, t1, t19, t9);
    t23 = (t8 + 12U);
    t15 = *((unsigned int *)t23);
    t16 = (1U * t15);
    t54 = (32U != t16);
    if (t54 == 1)
        goto LAB21;

LAB22:    t24 = (t0 + 8832);
    t25 = (t24 + 56U);
    t31 = *((char **)t25);
    t32 = (t31 + 56U);
    t35 = *((char **)t32);
    memcpy(t35, t20, 32U);
    xsi_driver_first_trans_fast(t24);
    xsi_set_current_line(443, ng0);
    t1 = (t0 + 4552U);
    t2 = *((char **)t1);
    t13 = *((int *)t2);
    t14 = (7 * t13);
    t21 = xsi_vhdl_mod(t14, 16);
    t1 = (t0 + 8896);
    t3 = (t1 + 56U);
    t4 = *((char **)t3);
    t5 = (t4 + 56U);
    t6 = *((char **)t5);
    *((int *)t6) = t21;
    xsi_driver_first_trans_fast(t1);
    goto LAB7;

LAB13:    xsi_set_current_line(445, ng0);
    t1 = (t0 + 8512);
    t2 = (t1 + 56U);
    t3 = *((char **)t2);
    t4 = (t3 + 56U);
    t5 = *((char **)t4);
    *((unsigned char *)t5) = (unsigned char)3;
    xsi_driver_first_trans_fast_port(t1);
    xsi_set_current_line(446, ng0);
    t1 = (t0 + 5488U);
    t2 = *((char **)t1);
    t1 = (t0 + 15108U);
    t3 = (t0 + 3112U);
    t4 = *((char **)t3);
    t3 = (t0 + 15204U);
    t5 = ieee_p_1242562249_sub_1547198987_1035706684(IEEE_P_1242562249, t8, t2, t1, t4, t3);
    t6 = (t8 + 12U);
    t15 = *((unsigned int *)t6);
    t16 = (1U * t15);
    t54 = (32U != t16);
    if (t54 == 1)
        goto LAB23;

LAB24:    t7 = (t0 + 8576);
    t19 = (t7 + 56U);
    t20 = *((char **)t19);
    t23 = (t20 + 56U);
    t24 = *((char **)t23);
    memcpy(t24, t5, 32U);
    xsi_driver_first_trans_fast_port(t7);
    xsi_set_current_line(447, ng0);
    t1 = (t0 + 5608U);
    t2 = *((char **)t1);
    t1 = (t0 + 15124U);
    t3 = (t0 + 3432U);
    t4 = *((char **)t3);
    t3 = (t0 + 15204U);
    t5 = ieee_p_1242562249_sub_1547198987_1035706684(IEEE_P_1242562249, t8, t2, t1, t4, t3);
    t6 = (t8 + 12U);
    t15 = *((unsigned int *)t6);
    t16 = (1U * t15);
    t54 = (32U != t16);
    if (t54 == 1)
        goto LAB25;

LAB26:    t7 = (t0 + 8640);
    t19 = (t7 + 56U);
    t20 = *((char **)t19);
    t23 = (t20 + 56U);
    t24 = *((char **)t23);
    memcpy(t24, t5, 32U);
    xsi_driver_first_trans_fast_port(t7);
    xsi_set_current_line(448, ng0);
    t1 = (t0 + 5728U);
    t2 = *((char **)t1);
    t1 = (t0 + 15140U);
    t3 = (t0 + 3752U);
    t4 = *((char **)t3);
    t3 = (t0 + 15204U);
    t5 = ieee_p_1242562249_sub_1547198987_1035706684(IEEE_P_1242562249, t8, t2, t1, t4, t3);
    t6 = (t8 + 12U);
    t15 = *((unsigned int *)t6);
    t16 = (1U * t15);
    t54 = (32U != t16);
    if (t54 == 1)
        goto LAB27;

LAB28:    t7 = (t0 + 8704);
    t19 = (t7 + 56U);
    t20 = *((char **)t19);
    t23 = (t20 + 56U);
    t24 = *((char **)t23);
    memcpy(t24, t5, 32U);
    xsi_driver_first_trans_fast_port(t7);
    xsi_set_current_line(449, ng0);
    t1 = (t0 + 5848U);
    t2 = *((char **)t1);
    t1 = (t0 + 15156U);
    t3 = (t0 + 4072U);
    t4 = *((char **)t3);
    t3 = (t0 + 15204U);
    t5 = ieee_p_1242562249_sub_1547198987_1035706684(IEEE_P_1242562249, t8, t2, t1, t4, t3);
    t6 = (t8 + 12U);
    t15 = *((unsigned int *)t6);
    t16 = (1U * t15);
    t54 = (32U != t16);
    if (t54 == 1)
        goto LAB29;

LAB30:    t7 = (t0 + 8768);
    t19 = (t7 + 56U);
    t20 = *((char **)t19);
    t23 = (t20 + 56U);
    t24 = *((char **)t23);
    memcpy(t24, t5, 32U);
    xsi_driver_first_trans_fast_port(t7);
    goto LAB7;

LAB14:    xsi_set_current_line(450, ng0);
    goto LAB7;

LAB15:    xsi_size_not_matching(32U, t16, 0);
    goto LAB16;

LAB17:    xsi_size_not_matching(32U, t16, 0);
    goto LAB18;

LAB19:    xsi_size_not_matching(32U, t16, 0);
    goto LAB20;

LAB21:    xsi_size_not_matching(32U, t16, 0);
    goto LAB22;

LAB23:    xsi_size_not_matching(32U, t16, 0);
    goto LAB24;

LAB25:    xsi_size_not_matching(32U, t16, 0);
    goto LAB26;

LAB27:    xsi_size_not_matching(32U, t16, 0);
    goto LAB28;

LAB29:    xsi_size_not_matching(32U, t16, 0);
    goto LAB30;

}


extern void work_a_4143924007_3212880686_init()
{
	static char *pe[] = {(void *)work_a_4143924007_3212880686_p_0,(void *)work_a_4143924007_3212880686_p_1,(void *)work_a_4143924007_3212880686_p_2};
	xsi_register_didat("work_a_4143924007_3212880686", "isim/tb_brutus_dual_isim_beh.exe.sim/work/a_4143924007_3212880686.didat");
	xsi_register_executes(pe);
}
예제 #8
0
int work_a_1943598221_3212880686_sub_841269_3057020925(char *t1, char *t2, char *t3)
{
    char t4[128];
    char t5[24];
    char t9[16];
    int t0;
    int t6;
    int t7;
    unsigned int t8;
    int t10;
    char *t11;
    char *t12;
    int t13;
    unsigned int t14;
    char *t15;
    char *t16;
    char *t17;
    char *t18;
    char *t19;
    char *t20;
    char *t21;
    unsigned char t22;
    char *t23;
    char *t24;
    char *t25;
    char *t26;
    unsigned char t27;
    int t28;
    int t29;
    int t30;
    unsigned int t31;
    unsigned char t32;
    unsigned char t33;
    int t34;
    int t35;
    int t36;
    unsigned int t37;
    int t38;
    unsigned int t39;
    unsigned int t40;
    char *t41;
    unsigned char t42;
    unsigned char t43;
    char *t44;
    char *t45;
    int t46;
    char *t47;
    int t48;
    int t49;
    unsigned int t50;
    char *t51;
    int t52;
    unsigned int t53;
    unsigned int t54;
    char *t55;
    unsigned char t56;
    unsigned char t57;
    char *t58;
    char *t59;
    int t60;
    char *t61;
    int t62;
    int t63;
    unsigned int t64;
    char *t65;
    int t66;
    unsigned int t67;
    unsigned int t68;
    char *t69;

LAB0:
    t6 = (1 - 1);
    t7 = (0 - t6);
    t8 = (t7 * -1);
    t8 = (t8 + 1);
    t8 = (t8 * 1U);
    t10 = (1 - 1);
    t11 = (t9 + 0U);
    t12 = (t11 + 0U);
    *((int *)t12) = t10;
    t12 = (t11 + 4U);
    *((int *)t12) = 0;
    t12 = (t11 + 8U);
    *((int *)t12) = -1;
    t13 = (0 - t10);
    t14 = (t13 * -1);
    t14 = (t14 + 1);
    t12 = (t11 + 12U);
    *((unsigned int *)t12) = t14;
    t12 = (t4 + 4U);
    t15 = ((IEEE_P_1242562249) + 3000);
    t16 = (t12 + 88U);
    *((char **)t16) = t15;
    t17 = (char *)alloca(t8);
    t18 = (t12 + 56U);
    *((char **)t18) = t17;
    xsi_type_set_default_value(t15, t17, t9);
    t19 = (t12 + 64U);
    *((char **)t19) = t9;
    t20 = (t12 + 80U);
    *((unsigned int *)t20) = t8;
    t21 = (t5 + 4U);
    t22 = (t2 != 0);
    if (t22 == 1)
        goto LAB3;

LAB2:
    t23 = (t5 + 12U);
    *((char **)t23) = t3;
    t24 = (t12 + 56U);
    t25 = *((char **)t24);
    t24 = (t25 + 0);
    t26 = (t3 + 12U);
    t14 = *((unsigned int *)t26);
    t14 = (t14 * 1U);
    memcpy(t24, t2, t14);
    t6 = (1 - 1);
    t7 = 0;
    t10 = t6;

LAB4:
    if (t7 <= t10)
        goto LAB5;

LAB7:
    t11 = (t12 + 56U);
    t15 = *((char **)t11);
    t6 = ieee_p_1242562249_sub_1657552908_1035706684(IEEE_P_1242562249, t15, t9);
    t0 = t6;

LAB1:
    return t0;
LAB3:
    *((char **)t21) = t2;
    goto LAB2;

LAB5:
    t11 = (t12 + 56U);
    t15 = *((char **)t11);
    t11 = (t9 + 0U);
    t13 = *((int *)t11);
    t16 = (t9 + 8U);
    t28 = *((int *)t16);
    t29 = (t7 - t13);
    t8 = (t29 * t28);
    t18 = (t9 + 4U);
    t30 = *((int *)t18);
    xsi_vhdl_check_range_of_index(t13, t30, t28, t7);
    t14 = (1U * t8);
    t31 = (0 + t14);
    t19 = (t15 + t31);
    t32 = *((unsigned char *)t19);
    t33 = (t32 == (unsigned char)1);
    if (t33 == 1)
        goto LAB14;

LAB15:
    t20 = (t12 + 56U);
    t24 = *((char **)t20);
    t20 = (t9 + 0U);
    t34 = *((int *)t20);
    t25 = (t9 + 8U);
    t35 = *((int *)t25);
    t36 = (t7 - t34);
    t37 = (t36 * t35);
    t26 = (t9 + 4U);
    t38 = *((int *)t26);
    xsi_vhdl_check_range_of_index(t34, t38, t35, t7);
    t39 = (1U * t37);
    t40 = (0 + t39);
    t41 = (t24 + t40);
    t42 = *((unsigned char *)t41);
    t43 = (t42 == (unsigned char)4);
    t27 = t43;

LAB16:
    if (t27 == 1)
        goto LAB11;

LAB12:
    t44 = (t12 + 56U);
    t45 = *((char **)t44);
    t44 = (t9 + 0U);
    t46 = *((int *)t44);
    t47 = (t9 + 8U);
    t48 = *((int *)t47);
    t49 = (t7 - t46);
    t50 = (t49 * t48);
    t51 = (t9 + 4U);
    t52 = *((int *)t51);
    xsi_vhdl_check_range_of_index(t46, t52, t48, t7);
    t53 = (1U * t50);
    t54 = (0 + t53);
    t55 = (t45 + t54);
    t56 = *((unsigned char *)t55);
    t57 = (t56 == (unsigned char)0);
    t22 = t57;

LAB13:
    if (t22 != 0)
        goto LAB8;

LAB10:
LAB9:
LAB6:
    if (t7 == t10)
        goto LAB7;

LAB17:
    t6 = (t7 + 1);
    t7 = t6;
    goto LAB4;

LAB8:
    t58 = (t12 + 56U);
    t59 = *((char **)t58);
    t58 = (t9 + 0U);
    t60 = *((int *)t58);
    t61 = (t9 + 8U);
    t62 = *((int *)t61);
    t63 = (t7 - t60);
    t64 = (t63 * t62);
    t65 = (t9 + 4U);
    t66 = *((int *)t65);
    xsi_vhdl_check_range_of_index(t60, t66, t62, t7);
    t67 = (1U * t64);
    t68 = (0 + t67);
    t69 = (t59 + t68);
    *((unsigned char *)t69) = (unsigned char)2;
    goto LAB9;

LAB11:
    t22 = (unsigned char)1;
    goto LAB13;

LAB14:
    t27 = (unsigned char)1;
    goto LAB16;

LAB18:
    ;
}
예제 #9
0
static void work_a_4057903292_3212880686_p_4(char *t0)
{
    char t10[16];
    char *t1;
    unsigned char t2;
    char *t3;
    char *t4;
    unsigned char t5;
    unsigned char t6;
    char *t7;
    unsigned char t8;
    unsigned char t9;
    char *t11;
    char *t12;
    char *t13;
    unsigned int t14;
    unsigned int t15;
    unsigned char t16;
    char *t17;
    char *t18;
    char *t19;
    char *t20;
    char *t21;
    int t22;
    unsigned int t23;
    int t24;
    int t25;
    unsigned int t26;
    unsigned int t27;
    unsigned int t28;

LAB0:    xsi_set_current_line(158, ng0);
    t1 = (t0 + 992U);
    t2 = ieee_p_2592010699_sub_1744673427_503743352(IEEE_P_2592010699, t1, 0U, 0U);
    if (t2 != 0)
        goto LAB2;

LAB4:
LAB3:    t1 = (t0 + 5888);
    *((int *)t1) = 1;

LAB1:    return;
LAB2:    xsi_set_current_line(159, ng0);
    t3 = (t0 + 1512U);
    t4 = *((char **)t3);
    t5 = *((unsigned char *)t4);
    t6 = (t5 == (unsigned char)3);
    if (t6 != 0)
        goto LAB5;

LAB7:
LAB6:    xsi_set_current_line(171, ng0);
    t1 = (t0 + 1672U);
    t3 = *((char **)t1);
    t2 = *((unsigned char *)t3);
    t5 = (t2 == (unsigned char)3);
    if (t5 != 0)
        goto LAB16;

LAB18:
LAB17:    goto LAB3;

LAB5:    xsi_set_current_line(160, ng0);
    t3 = (t0 + 2632U);
    t7 = *((char **)t3);
    t8 = *((unsigned char *)t7);
    t9 = (t8 == (unsigned char)2);
    if (t9 != 0)
        goto LAB8;

LAB10:
LAB9:    xsi_set_current_line(164, ng0);
    t1 = (t0 + 2312U);
    t3 = *((char **)t1);
    t1 = (t0 + 10268U);
    t4 = (t0 + 2472U);
    t7 = *((char **)t4);
    t4 = (t0 + 10284U);
    t11 = ieee_p_1242562249_sub_1919365254_1035706684(IEEE_P_1242562249, t10, t7, t4, 1);
    t2 = ieee_p_1242562249_sub_2110375371_1035706684(IEEE_P_1242562249, t3, t1, t11, t10);
    if (t2 != 0)
        goto LAB13;

LAB15:    xsi_set_current_line(167, ng0);
    t1 = (t0 + 6352);
    t3 = (t1 + 56U);
    t4 = *((char **)t3);
    t7 = (t4 + 56U);
    t11 = *((char **)t7);
    *((unsigned char *)t11) = (unsigned char)2;
    xsi_driver_first_trans_fast(t1);

LAB14:    xsi_set_current_line(169, ng0);
    t1 = (t0 + 6416);
    t3 = (t1 + 56U);
    t4 = *((char **)t3);
    t7 = (t4 + 56U);
    t11 = *((char **)t7);
    *((unsigned char *)t11) = (unsigned char)2;
    xsi_driver_first_trans_fast(t1);
    goto LAB6;

LAB8:    xsi_set_current_line(161, ng0);
    t3 = (t0 + 2472U);
    t11 = *((char **)t3);
    t3 = (t0 + 10284U);
    t12 = ieee_p_1242562249_sub_1919365254_1035706684(IEEE_P_1242562249, t10, t11, t3, 1);
    t13 = (t10 + 12U);
    t14 = *((unsigned int *)t13);
    t15 = (1U * t14);
    t16 = (10U != t15);
    if (t16 == 1)
        goto LAB11;

LAB12:    t17 = (t0 + 6224);
    t18 = (t17 + 56U);
    t19 = *((char **)t18);
    t20 = (t19 + 56U);
    t21 = *((char **)t20);
    memcpy(t21, t12, 10U);
    xsi_driver_first_trans_fast(t17);
    xsi_set_current_line(162, ng0);
    t1 = (t0 + 1192U);
    t3 = *((char **)t1);
    t22 = (45 - 1);
    t14 = (44 - t22);
    t15 = (t14 * 1U);
    t23 = (0 + t15);
    t1 = (t3 + t23);
    t4 = (t0 + 2472U);
    t7 = *((char **)t4);
    t4 = (t0 + 10284U);
    t24 = ieee_p_1242562249_sub_1657552908_1035706684(IEEE_P_1242562249, t7, t4);
    t25 = (t24 - 1023);
    t26 = (t25 * -1);
    t27 = (45U * t26);
    t28 = (0U + t27);
    t11 = (t0 + 6288);
    t12 = (t11 + 56U);
    t13 = *((char **)t12);
    t17 = (t13 + 56U);
    t18 = *((char **)t17);
    memcpy(t18, t1, 45U);
    xsi_driver_first_trans_delta(t11, t28, 45U, 0LL);
    goto LAB9;

LAB11:    xsi_size_not_matching(10U, t15, 0);
    goto LAB12;

LAB13:    xsi_set_current_line(165, ng0);
    t12 = (t0 + 6352);
    t13 = (t12 + 56U);
    t17 = *((char **)t13);
    t18 = (t17 + 56U);
    t19 = *((char **)t18);
    *((unsigned char *)t19) = (unsigned char)3;
    xsi_driver_first_trans_fast(t12);
    goto LAB14;

LAB16:    xsi_set_current_line(172, ng0);
    t1 = (t0 + 2792U);
    t4 = *((char **)t1);
    t6 = *((unsigned char *)t4);
    t8 = (t6 == (unsigned char)2);
    if (t8 != 0)
        goto LAB19;

LAB21:
LAB20:    xsi_set_current_line(175, ng0);
    t1 = (t0 + 2152U);
    t3 = *((char **)t1);
    t1 = (t0 + 2312U);
    t4 = *((char **)t1);
    t1 = (t0 + 10268U);
    t22 = ieee_p_1242562249_sub_1657552908_1035706684(IEEE_P_1242562249, t4, t1);
    t24 = (t22 - 1023);
    t14 = (t24 * -1);
    xsi_vhdl_check_range_of_index(1023, 0, -1, t22);
    t15 = (45U * t14);
    t23 = (0 + t15);
    t7 = (t3 + t23);
    t11 = (t0 + 6544);
    t12 = (t11 + 56U);
    t13 = *((char **)t12);
    t17 = (t13 + 56U);
    t18 = *((char **)t17);
    memcpy(t18, t7, 45U);
    xsi_driver_first_trans_fast_port(t11);
    xsi_set_current_line(176, ng0);
    t1 = (t0 + 1512U);
    t3 = *((char **)t1);
    t2 = *((unsigned char *)t3);
    t5 = (t2 == (unsigned char)2);
    if (t5 != 0)
        goto LAB24;

LAB26:
LAB25:    goto LAB17;

LAB19:    xsi_set_current_line(173, ng0);
    t1 = (t0 + 2312U);
    t7 = *((char **)t1);
    t1 = (t0 + 10268U);
    t11 = ieee_p_1242562249_sub_1919365254_1035706684(IEEE_P_1242562249, t10, t7, t1, 1);
    t12 = (t10 + 12U);
    t14 = *((unsigned int *)t12);
    t15 = (1U * t14);
    t9 = (10U != t15);
    if (t9 == 1)
        goto LAB22;

LAB23:    t13 = (t0 + 6480);
    t17 = (t13 + 56U);
    t18 = *((char **)t17);
    t19 = (t18 + 56U);
    t20 = *((char **)t19);
    memcpy(t20, t11, 10U);
    xsi_driver_first_trans_fast(t13);
    goto LAB20;

LAB22:    xsi_size_not_matching(10U, t15, 0);
    goto LAB23;

LAB24:    xsi_set_current_line(177, ng0);
    t1 = (t0 + 2792U);
    t4 = *((char **)t1);
    t8 = *((unsigned char *)t4);
    t9 = (t8 == (unsigned char)3);
    if (t9 == 1)
        goto LAB30;

LAB31:    t1 = (t0 + 2312U);
    t7 = *((char **)t1);
    t1 = (t0 + 10268U);
    t11 = ieee_p_1242562249_sub_1919365254_1035706684(IEEE_P_1242562249, t10, t7, t1, 1);
    t12 = (t0 + 2472U);
    t13 = *((char **)t12);
    t12 = (t0 + 10284U);
    t16 = ieee_p_1242562249_sub_2110375371_1035706684(IEEE_P_1242562249, t11, t10, t13, t12);
    t6 = t16;

LAB32:    if (t6 != 0)
        goto LAB27;

LAB29:    xsi_set_current_line(180, ng0);
    t1 = (t0 + 6416);
    t3 = (t1 + 56U);
    t4 = *((char **)t3);
    t7 = (t4 + 56U);
    t11 = *((char **)t7);
    *((unsigned char *)t11) = (unsigned char)2;
    xsi_driver_first_trans_fast(t1);

LAB28:    xsi_set_current_line(182, ng0);
    t1 = (t0 + 6352);
    t3 = (t1 + 56U);
    t4 = *((char **)t3);
    t7 = (t4 + 56U);
    t11 = *((char **)t7);
    *((unsigned char *)t11) = (unsigned char)2;
    xsi_driver_first_trans_fast(t1);
    goto LAB25;

LAB27:    xsi_set_current_line(178, ng0);
    t17 = (t0 + 6416);
    t18 = (t17 + 56U);
    t19 = *((char **)t18);
    t20 = (t19 + 56U);
    t21 = *((char **)t20);
    *((unsigned char *)t21) = (unsigned char)3;
    xsi_driver_first_trans_fast(t17);
    goto LAB28;

LAB30:    t6 = (unsigned char)1;
    goto LAB32;

}
static void work_a_0080914410_1516540902_p_1(char *t0)
{
    char *t1;
    char *t2;
    unsigned char t3;
    unsigned char t4;
    char *t5;
    char *t6;
    char *t7;
    char *t8;
    char *t9;
    char *t10;
    unsigned char t11;
    unsigned char t12;
    int t13;
    int t14;
    unsigned int t15;
    unsigned int t16;
    unsigned int t17;
    char *t18;
    char *t19;
    char *t20;

LAB0:    xsi_set_current_line(35, ng0);
    t1 = (t0 + 1672U);
    t2 = *((char **)t1);
    t3 = *((unsigned char *)t2);
    t4 = (t3 == (unsigned char)2);
    if (t4 != 0)
        goto LAB2;

LAB4:    t1 = (t0 + 1472U);
    t4 = xsi_signal_has_event(t1);
    if (t4 == 1)
        goto LAB7;

LAB8:    t3 = (unsigned char)0;

LAB9:    if (t3 != 0)
        goto LAB5;

LAB6:
LAB3:    t1 = (t0 + 3896);
    *((int *)t1) = 1;

LAB1:    return;
LAB2:    xsi_set_current_line(36, ng0);
    t1 = xsi_get_transient_memory(8U);
    memset(t1, 0, 8U);
    t5 = t1;
    memset(t5, (unsigned char)2, 8U);
    t6 = (t0 + 4040);
    t7 = (t6 + 56U);
    t8 = *((char **)t7);
    t9 = (t8 + 56U);
    t10 = *((char **)t9);
    memcpy(t10, t1, 8U);
    xsi_driver_first_trans_fast_port(t6);
    goto LAB3;

LAB5:    xsi_set_current_line(38, ng0);
    t2 = (t0 + 2152U);
    t6 = *((char **)t2);
    t2 = (t0 + 1832U);
    t7 = *((char **)t2);
    t2 = (t0 + 6412U);
    t13 = ieee_p_1242562249_sub_1657552908_1035706684(IEEE_P_1242562249, t7, t2);
    t14 = (t13 - 255);
    t15 = (t14 * -1);
    xsi_vhdl_check_range_of_index(255, 0, -1, t13);
    t16 = (8U * t15);
    t17 = (0 + t16);
    t8 = (t6 + t17);
    t9 = (t0 + 4040);
    t10 = (t9 + 56U);
    t18 = *((char **)t10);
    t19 = (t18 + 56U);
    t20 = *((char **)t19);
    memcpy(t20, t8, 8U);
    xsi_driver_first_trans_fast_port(t9);
    goto LAB3;

LAB7:    t2 = (t0 + 1512U);
    t5 = *((char **)t2);
    t11 = *((unsigned char *)t5);
    t12 = (t11 == (unsigned char)3);
    t3 = t12;
    goto LAB9;

}
static void spi_a_2090800054_3212880686_p_0(char *t0)
{
    char *t1;
    unsigned char t2;
    char *t3;
    char *t4;
    unsigned char t5;
    unsigned char t6;
    char *t7;
    char *t8;
    int t9;
    int t10;
    unsigned int t11;
    unsigned int t12;
    unsigned int t13;
    char *t14;
    char *t15;
    char *t16;
    char *t17;
    char *t18;

LAB0:    xsi_set_current_line(38, ng0);
    t1 = (t0 + 992U);
    t2 = ieee_p_2592010699_sub_1744673427_503743352(IEEE_P_2592010699, t1, 0U, 0U);
    if (t2 != 0)
        goto LAB2;

LAB4:
LAB3:    t1 = (t0 + 8184);
    *((int *)t1) = 1;

LAB1:    return;
LAB2:    xsi_set_current_line(39, ng0);
    t3 = (t0 + 4232U);
    t4 = *((char **)t3);
    t5 = *((unsigned char *)t4);
    t6 = (t5 == (unsigned char)3);
    if (t6 != 0)
        goto LAB5;

LAB7:
LAB6:    goto LAB3;

LAB5:    xsi_set_current_line(40, ng0);
    t3 = (t0 + 1672U);
    t7 = *((char **)t3);
    t3 = (t0 + 2472U);
    t8 = *((char **)t3);
    t3 = (t0 + 13120U);
    t9 = ieee_p_1242562249_sub_1657552908_1035706684(IEEE_P_1242562249, t8, t3);
    t10 = (t9 - 3);
    t11 = (t10 * -1);
    t12 = (8U * t11);
    t13 = (0U + t12);
    t14 = (t0 + 8408);
    t15 = (t14 + 56U);
    t16 = *((char **)t15);
    t17 = (t16 + 56U);
    t18 = *((char **)t17);
    memcpy(t18, t7, 8U);
    xsi_driver_first_trans_delta(t14, t13, 8U, 0LL);
    goto LAB6;

}
예제 #12
0
static void work_a_1888889153_3212880686_p_0(char *t0)
{
    char t17[16];
    char t18[16];
    char *t1;
    char *t2;
    char *t3;
    char *t4;
    int t5;
    int t6;
    int t7;
    int t8;
    unsigned int t9;
    unsigned int t10;
    unsigned int t11;
    char *t12;
    unsigned char t13;
    unsigned char t14;
    char *t15;
    char *t16;
    char *t19;
    char *t20;
    char *t21;
    char *t22;
    char *t23;
    char *t24;
    char *t25;
    char *t26;

LAB0:    xsi_set_current_line(59, ng0);
    t1 = (t0 + 5909);
    t3 = (t0 + 2128U);
    t4 = *((char **)t3);
    t3 = (t4 + 0);
    memcpy(t3, t1, 8U);
    xsi_set_current_line(60, ng0);
    t1 = (t0 + 2248U);
    t2 = *((char **)t1);
    t1 = (t2 + 0);
    *((unsigned char *)t1) = (unsigned char)3;
    xsi_set_current_line(62, ng0);
    t1 = (t0 + 5917);
    *((int *)t1) = 23;
    t2 = (t0 + 5921);
    *((int *)t2) = 0;
    t5 = 23;
    t6 = 0;

LAB2:    if (t5 >= t6)
        goto LAB3;

LAB5:    xsi_set_current_line(77, ng0);
    t1 = (t0 + 2128U);
    t2 = *((char **)t1);
    t1 = (t0 + 5820U);
    t3 = (t0 + 1352U);
    t4 = *((char **)t3);
    t3 = (t0 + 5772U);
    t13 = ieee_p_1242562249_sub_2110339434_1035706684(IEEE_P_1242562249, t2, t1, t4, t3);
    if (t13 != 0)
        goto LAB12;

LAB14:    t1 = (t0 + 2128U);
    t2 = *((char **)t1);
    t1 = (t0 + 5820U);
    t3 = (t0 + 1352U);
    t4 = *((char **)t3);
    t3 = (t0 + 5772U);
    t13 = ieee_p_1242562249_sub_2110411308_1035706684(IEEE_P_1242562249, t2, t1, t4, t3);
    if (t13 != 0)
        goto LAB19;

LAB20:    xsi_set_current_line(94, ng0);
    t1 = (t0 + 5964);
    t3 = (t0 + 3696);
    t4 = (t3 + 56U);
    t12 = *((char **)t4);
    t15 = (t12 + 56U);
    t16 = *((char **)t15);
    memcpy(t16, t1, 23U);
    xsi_driver_first_trans_fast_port(t3);
    xsi_set_current_line(95, ng0);
    t1 = (t0 + 5987);
    t3 = (t0 + 3760);
    t4 = (t3 + 56U);
    t12 = *((char **)t4);
    t15 = (t12 + 56U);
    t16 = *((char **)t15);
    memcpy(t16, t1, 8U);
    xsi_driver_first_trans_fast_port(t3);
    xsi_set_current_line(96, ng0);
    t1 = (t0 + 3824);
    t2 = (t1 + 56U);
    t3 = *((char **)t2);
    t4 = (t3 + 56U);
    t12 = *((char **)t4);
    *((unsigned char *)t12) = (unsigned char)2;
    xsi_driver_first_trans_fast_port(t1);

LAB13:    t1 = (t0 + 3552);
    *((int *)t1) = 1;

LAB1:    return;
LAB3:    xsi_set_current_line(64, ng0);
    t3 = (t0 + 1032U);
    t4 = *((char **)t3);
    t3 = (t0 + 5917);
    t7 = *((int *)t3);
    t8 = (t7 - 23);
    t9 = (t8 * -1);
    xsi_vhdl_check_range_of_index(23, 0, -1, *((int *)t3));
    t10 = (1U * t9);
    t11 = (0 + t10);
    t12 = (t4 + t11);
    t13 = *((unsigned char *)t12);
    t14 = (t13 == (unsigned char)3);
    if (t14 != 0)
        goto LAB6;

LAB8:    t1 = (t0 + 2248U);
    t2 = *((char **)t1);
    t13 = *((unsigned char *)t2);
    t14 = (t13 == (unsigned char)3);
    if (t14 != 0)
        goto LAB9;

LAB10:
LAB7:
LAB4:    t1 = (t0 + 5917);
    t5 = *((int *)t1);
    t2 = (t0 + 5921);
    t6 = *((int *)t2);
    if (t5 == t6)
        goto LAB5;

LAB11:    t7 = (t5 + -1);
    t5 = t7;
    t3 = (t0 + 5917);
    *((int *)t3) = t5;
    goto LAB2;

LAB6:    xsi_set_current_line(66, ng0);
    t15 = (t0 + 2248U);
    t16 = *((char **)t15);
    t15 = (t16 + 0);
    *((unsigned char *)t15) = (unsigned char)2;
    goto LAB7;

LAB9:    xsi_set_current_line(70, ng0);
    t1 = (t0 + 2128U);
    t3 = *((char **)t1);
    t1 = (t0 + 5820U);
    t4 = (t0 + 5925);
    t15 = (t18 + 0U);
    t16 = (t15 + 0U);
    *((int *)t16) = 0;
    t16 = (t15 + 4U);
    *((int *)t16) = 7;
    t16 = (t15 + 8U);
    *((int *)t16) = 1;
    t7 = (7 - 0);
    t9 = (t7 * 1);
    t9 = (t9 + 1);
    t16 = (t15 + 12U);
    *((unsigned int *)t16) = t9;
    t16 = ieee_p_1242562249_sub_1547198987_1035706684(IEEE_P_1242562249, t17, t3, t1, t4, t18);
    t19 = (t0 + 2128U);
    t20 = *((char **)t19);
    t19 = (t20 + 0);
    t21 = (t17 + 12U);
    t9 = *((unsigned int *)t21);
    t10 = (1U * t9);
    memcpy(t19, t16, t10);
    goto LAB7;

LAB12:    xsi_set_current_line(79, ng0);
    t12 = (t0 + 1032U);
    t15 = *((char **)t12);
    t12 = (t0 + 5740U);
    t16 = (t0 + 2128U);
    t19 = *((char **)t16);
    t16 = (t0 + 5820U);
    t5 = ieee_p_1242562249_sub_1657552908_1035706684(IEEE_P_1242562249, t19, t16);
    t20 = ieee_p_1242562249_sub_2540846514_1035706684(IEEE_P_1242562249, t17, t15, t12, t5);
    t21 = (t17 + 12U);
    t9 = *((unsigned int *)t21);
    t10 = (1U * t9);
    t14 = (24U != t10);
    if (t14 == 1)
        goto LAB15;

LAB16:    t22 = (t0 + 3632);
    t23 = (t22 + 56U);
    t24 = *((char **)t23);
    t25 = (t24 + 56U);
    t26 = *((char **)t25);
    memcpy(t26, t20, 24U);
    xsi_driver_first_trans_fast(t22);
    xsi_set_current_line(80, ng0);
    t1 = (t0 + 1832U);
    t2 = *((char **)t1);
    t9 = (23 - 22);
    t10 = (t9 * 1U);
    t11 = (0 + t10);
    t1 = (t2 + t11);
    t3 = (t0 + 3696);
    t4 = (t3 + 56U);
    t12 = *((char **)t4);
    t15 = (t12 + 56U);
    t16 = *((char **)t15);
    memcpy(t16, t1, 23U);
    xsi_driver_first_trans_fast_port(t3);
    xsi_set_current_line(81, ng0);
    t1 = (t0 + 1352U);
    t2 = *((char **)t1);
    t1 = (t0 + 5772U);
    t3 = (t0 + 2128U);
    t4 = *((char **)t3);
    t3 = (t0 + 5820U);
    t12 = ieee_p_3620187407_sub_767740470_3965413181(IEEE_P_3620187407, t17, t2, t1, t4, t3);
    t15 = (t17 + 12U);
    t9 = *((unsigned int *)t15);
    t10 = (1U * t9);
    t13 = (8U != t10);
    if (t13 == 1)
        goto LAB17;

LAB18:    t16 = (t0 + 3760);
    t19 = (t16 + 56U);
    t20 = *((char **)t19);
    t21 = (t20 + 56U);
    t22 = *((char **)t21);
    memcpy(t22, t12, 8U);
    xsi_driver_first_trans_fast_port(t16);
    xsi_set_current_line(82, ng0);
    t1 = (t0 + 3824);
    t2 = (t1 + 56U);
    t3 = *((char **)t2);
    t4 = (t3 + 56U);
    t12 = *((char **)t4);
    *((unsigned char *)t12) = (unsigned char)2;
    xsi_driver_first_trans_fast_port(t1);
    goto LAB13;

LAB15:    xsi_size_not_matching(24U, t10, 0);
    goto LAB16;

LAB17:    xsi_size_not_matching(8U, t10, 0);
    goto LAB18;

LAB19:    xsi_set_current_line(88, ng0);
    t12 = (t0 + 5933);
    t16 = (t0 + 3696);
    t19 = (t16 + 56U);
    t20 = *((char **)t19);
    t21 = (t20 + 56U);
    t22 = *((char **)t21);
    memcpy(t22, t12, 23U);
    xsi_driver_first_trans_fast_port(t16);
    xsi_set_current_line(89, ng0);
    t1 = (t0 + 5956);
    t3 = (t0 + 3760);
    t4 = (t3 + 56U);
    t12 = *((char **)t4);
    t15 = (t12 + 56U);
    t16 = *((char **)t15);
    memcpy(t16, t1, 8U);
    xsi_driver_first_trans_fast_port(t3);
    xsi_set_current_line(90, ng0);
    t1 = (t0 + 3824);
    t2 = (t1 + 56U);
    t3 = *((char **)t2);
    t4 = (t3 + 56U);
    t12 = *((char **)t4);
    *((unsigned char *)t12) = (unsigned char)3;
    xsi_driver_first_trans_fast_port(t1);
    goto LAB13;

}
static void work_a_3073701636_3212880686_p_0(char *t0)
{
    unsigned char t1;
    char *t2;
    unsigned char t3;
    char *t4;
    char *t5;
    unsigned char t6;
    unsigned char t7;
    unsigned char t8;
    char *t9;
    unsigned char t10;
    unsigned char t11;
    char *t12;
    unsigned char t13;
    unsigned char t14;
    char *t15;
    char *t16;
    int t17;
    int t18;
    unsigned int t19;
    unsigned int t20;
    unsigned int t21;
    char *t22;
    char *t23;
    char *t24;
    char *t25;
    char *t26;

LAB0:    xsi_set_current_line(52, ng0);
    t2 = (t0 + 992U);
    t3 = xsi_signal_has_event(t2);
    if (t3 == 1)
        goto LAB5;

LAB6:    t1 = (unsigned char)0;

LAB7:    if (t1 != 0)
        goto LAB2;

LAB4:
LAB3:    t2 = (t0 + 3720);
    *((int *)t2) = 1;

LAB1:    return;
LAB2:    xsi_set_current_line(53, ng0);
    t4 = (t0 + 1352U);
    t9 = *((char **)t4);
    t10 = *((unsigned char *)t9);
    t11 = (t10 == (unsigned char)3);
    if (t11 == 1)
        goto LAB11;

LAB12:    t8 = (unsigned char)0;

LAB13:    if (t8 != 0)
        goto LAB8;

LAB10:
LAB9:    goto LAB3;

LAB5:    t4 = (t0 + 1032U);
    t5 = *((char **)t4);
    t6 = *((unsigned char *)t5);
    t7 = (t6 == (unsigned char)3);
    t1 = t7;
    goto LAB7;

LAB8:    xsi_set_current_line(54, ng0);
    t4 = (t0 + 1672U);
    t15 = *((char **)t4);
    t4 = (t0 + 1512U);
    t16 = *((char **)t4);
    t4 = (t0 + 5900U);
    t17 = ieee_p_1242562249_sub_1657552908_1035706684(IEEE_P_1242562249, t16, t4);
    t18 = (t17 - 0);
    t19 = (t18 * 1);
    t20 = (32U * t19);
    t21 = (0U + t20);
    t22 = (t0 + 3816);
    t23 = (t22 + 56U);
    t24 = *((char **)t23);
    t25 = (t24 + 56U);
    t26 = *((char **)t25);
    memcpy(t26, t15, 32U);
    xsi_driver_first_trans_delta(t22, t21, 32U, 0LL);
    goto LAB9;

LAB11:    t4 = (t0 + 1192U);
    t12 = *((char **)t4);
    t13 = *((unsigned char *)t12);
    t14 = (t13 == (unsigned char)2);
    t8 = t14;
    goto LAB13;

}
예제 #14
0
static void work_a_2542269371_3212880686_p_0(char *t0)
{
    char *t1;
    char *t2;
    unsigned int t3;
    unsigned int t4;
    char *t5;
    int t6;
    int t7;
    unsigned int t8;
    unsigned int t9;
    unsigned int t10;
    unsigned int t11;
    char *t12;
    char *t13;
    char *t14;
    char *t15;
    char *t16;
    char *t17;

LAB0:
    xsi_set_current_line(169, ng0);
    t1 = (t0 + 1040U);
    t2 = *((char **)t1);
    t3 = (7 - 7);
    t4 = (t3 * 1U);
    t1 = (t0 + 684U);
    t5 = *((char **)t1);
    t1 = (t0 + 3196U);
    t6 = ieee_p_1242562249_sub_1657552908_1035706684(IEEE_P_1242562249, t5, t1);
    t7 = (t6 - 0);
    t8 = (t7 * 1);
    xsi_vhdl_check_range_of_index(0, 127, 1, t6);
    t9 = (8U * t8);
    t10 = (0 + t9);
    t11 = (t10 + t4);
    t12 = (t2 + t11);
    t13 = (t0 + 1836);
    t14 = (t13 + 32U);
    t15 = *((char **)t14);
    t16 = (t15 + 40U);
    t17 = *((char **)t16);
    memcpy(t17, t12, 4U);
    xsi_driver_first_trans_fast_port(t13);
    xsi_set_current_line(170, ng0);
    t1 = (t0 + 1040U);
    t2 = *((char **)t1);
    t3 = (7 - 3);
    t4 = (t3 * 1U);
    t1 = (t0 + 684U);
    t5 = *((char **)t1);
    t1 = (t0 + 3196U);
    t6 = ieee_p_1242562249_sub_1657552908_1035706684(IEEE_P_1242562249, t5, t1);
    t7 = (t6 - 0);
    t8 = (t7 * 1);
    xsi_vhdl_check_range_of_index(0, 127, 1, t6);
    t9 = (8U * t8);
    t10 = (0 + t9);
    t11 = (t10 + t4);
    t12 = (t2 + t11);
    t13 = (t0 + 1872);
    t14 = (t13 + 32U);
    t15 = *((char **)t14);
    t16 = (t15 + 40U);
    t17 = *((char **)t16);
    memcpy(t17, t12, 4U);
    xsi_driver_first_trans_fast_port(t13);
    t1 = (t0 + 1792);
    *((int *)t1) = 1;

LAB1:
    return;
}
예제 #15
0
static void work_a_3720894149_0831356973_p_0(char *t0)
{
    char t17[16];
    unsigned char t1;
    char *t2;
    unsigned char t3;
    char *t4;
    char *t5;
    unsigned char t6;
    unsigned char t7;
    char *t8;
    unsigned char t9;
    unsigned char t10;
    char *t11;
    char *t12;
    char *t13;
    unsigned int t14;
    unsigned int t15;
    unsigned int t16;
    char *t18;
    char *t19;
    int t20;
    unsigned int t21;
    int t22;
    int t23;
    unsigned int t24;
    unsigned int t25;
    char *t26;

LAB0:    xsi_set_current_line(23, ng0);
    t2 = (t0 + 992U);
    t3 = xsi_signal_has_event(t2);
    if (t3 == 1)
        goto LAB5;

LAB6:    t1 = (unsigned char)0;

LAB7:    if (t1 != 0)
        goto LAB2;

LAB4:
LAB3:    xsi_set_current_line(27, ng0);
    t2 = (t0 + 1968U);
    t4 = *((char **)t2);
    t2 = (t0 + 1352U);
    t5 = *((char **)t2);
    t14 = (31 - 7);
    t15 = (t14 * 1U);
    t16 = (0 + t15);
    t2 = (t5 + t16);
    t8 = (t17 + 0U);
    t11 = (t8 + 0U);
    *((int *)t11) = 7;
    t11 = (t8 + 4U);
    *((int *)t11) = 2;
    t11 = (t8 + 8U);
    *((int *)t11) = -1;
    t20 = (2 - 7);
    t21 = (t20 * -1);
    t21 = (t21 + 1);
    t11 = (t8 + 12U);
    *((unsigned int *)t11) = t21;
    t22 = ieee_p_1242562249_sub_1657552908_1035706684(IEEE_P_1242562249, t2, t17);
    t23 = (t22 - 63);
    t21 = (t23 * -1);
    xsi_vhdl_check_range_of_index(63, 0, -1, t22);
    t24 = (32U * t21);
    t25 = (0 + t24);
    t11 = (t4 + t25);
    t12 = (t0 + 3352);
    t13 = (t12 + 56U);
    t18 = *((char **)t13);
    t19 = (t18 + 56U);
    t26 = *((char **)t19);
    memcpy(t26, t11, 32U);
    xsi_driver_first_trans_fast_port(t12);
    t2 = (t0 + 3272);
    *((int *)t2) = 1;

LAB1:    return;
LAB2:    xsi_set_current_line(24, ng0);
    t4 = (t0 + 1192U);
    t8 = *((char **)t4);
    t9 = *((unsigned char *)t8);
    t10 = (t9 == (unsigned char)3);
    if (t10 != 0)
        goto LAB8;

LAB10:
LAB9:    goto LAB3;

LAB5:    t4 = (t0 + 1032U);
    t5 = *((char **)t4);
    t6 = *((unsigned char *)t5);
    t7 = (t6 == (unsigned char)3);
    t1 = t7;
    goto LAB7;

LAB8:    xsi_set_current_line(24, ng0);
    t4 = (t0 + 1512U);
    t11 = *((char **)t4);
    t4 = (t0 + 1968U);
    t12 = *((char **)t4);
    t4 = (t0 + 1352U);
    t13 = *((char **)t4);
    t14 = (31 - 7);
    t15 = (t14 * 1U);
    t16 = (0 + t15);
    t4 = (t13 + t16);
    t18 = (t17 + 0U);
    t19 = (t18 + 0U);
    *((int *)t19) = 7;
    t19 = (t18 + 4U);
    *((int *)t19) = 2;
    t19 = (t18 + 8U);
    *((int *)t19) = -1;
    t20 = (2 - 7);
    t21 = (t20 * -1);
    t21 = (t21 + 1);
    t19 = (t18 + 12U);
    *((unsigned int *)t19) = t21;
    t22 = ieee_p_1242562249_sub_1657552908_1035706684(IEEE_P_1242562249, t4, t17);
    t23 = (t22 - 63);
    t21 = (t23 * -1);
    xsi_vhdl_check_range_of_index(63, 0, -1, t22);
    t24 = (32U * t21);
    t25 = (0 + t24);
    t19 = (t12 + t25);
    memcpy(t19, t11, 32U);
    goto LAB9;

}
static void work_a_3742017542_4038663270_p_0(char *t0)
{
    char *t1;
    char *t2;
    unsigned char t3;
    unsigned char t4;
    char *t5;
    char *t6;
    char *t7;
    char *t8;
    char *t9;
    char *t10;
    unsigned char t11;
    unsigned char t12;
    unsigned char t13;
    unsigned char t14;
    int t15;
    int t16;
    unsigned int t17;
    unsigned int t18;
    unsigned int t19;
    char *t20;
    char *t21;
    char *t22;
    char *t23;

LAB0:    xsi_set_current_line(33, ng0);
    t1 = (t0 + 592U);
    t2 = *((char **)t1);
    t3 = *((unsigned char *)t2);
    t4 = (t3 == (unsigned char)2);
    if (t4 != 0)
        goto LAB2;

LAB4:
LAB3:    xsi_set_current_line(35, ng0);
    t1 = (t0 + 660U);
    t4 = xsi_signal_has_event(t1);
    if (t4 == 1)
        goto LAB8;

LAB9:    t3 = (unsigned char)0;

LAB10:    if (t3 != 0)
        goto LAB5;

LAB7:
LAB6:    t1 = (t0 + 1908);
    *((int *)t1) = 1;

LAB1:    return;
LAB2:    xsi_set_current_line(33, ng0);
    t1 = xsi_get_transient_memory(16U);
    memset(t1, 0, 16U);
    t5 = t1;
    memset(t5, (unsigned char)4, 16U);
    t6 = (t0 + 1952);
    t7 = (t6 + 32U);
    t8 = *((char **)t7);
    t9 = (t8 + 40U);
    t10 = *((char **)t9);
    memcpy(t10, t1, 16U);
    xsi_driver_first_trans_fast_port(t6);
    goto LAB3;

LAB5:    xsi_set_current_line(36, ng0);
    t2 = (t0 + 960U);
    t6 = *((char **)t2);
    t13 = *((unsigned char *)t6);
    t14 = (t13 == (unsigned char)2);
    if (t14 != 0)
        goto LAB11;

LAB13:    xsi_set_current_line(39, ng0);
    t1 = xsi_get_transient_memory(16U);
    memset(t1, 0, 16U);
    t2 = t1;
    memset(t2, (unsigned char)4, 16U);
    t5 = (t0 + 1952);
    t6 = (t5 + 32U);
    t7 = *((char **)t6);
    t8 = (t7 + 40U);
    t9 = *((char **)t8);
    memcpy(t9, t1, 16U);
    xsi_driver_first_trans_fast_port(t5);
    xsi_set_current_line(40, ng0);
    t1 = (t0 + 868U);
    t2 = *((char **)t1);
    t1 = (t0 + 776U);
    t5 = *((char **)t1);
    t1 = (t0 + 3804U);
    t15 = ieee_p_1242562249_sub_1657552908_1035706684(IEEE_P_1242562249, t5, t1);
    t16 = (t15 - 0);
    t17 = (t16 * 1);
    t18 = (16U * t17);
    t19 = (0U + t18);
    t6 = (t0 + 1988);
    t7 = (t6 + 32U);
    t8 = *((char **)t7);
    t9 = (t8 + 40U);
    t10 = *((char **)t9);
    memcpy(t10, t2, 16U);
    xsi_driver_first_trans_delta(t6, t19, 16U, 0LL);

LAB12:    goto LAB6;

LAB8:    t2 = (t0 + 684U);
    t5 = *((char **)t2);
    t11 = *((unsigned char *)t5);
    t12 = (t11 == (unsigned char)3);
    t3 = t12;
    goto LAB10;

LAB11:    xsi_set_current_line(37, ng0);
    t2 = (t0 + 1052U);
    t7 = *((char **)t2);
    t2 = (t0 + 776U);
    t8 = *((char **)t2);
    t2 = (t0 + 3804U);
    t15 = ieee_p_1242562249_sub_1657552908_1035706684(IEEE_P_1242562249, t8, t2);
    t16 = (t15 - 0);
    t17 = (t16 * 1);
    xsi_vhdl_check_range_of_index(0, 255, 1, t15);
    t18 = (16U * t17);
    t19 = (0 + t18);
    t9 = (t7 + t19);
    t10 = (t0 + 1952);
    t20 = (t10 + 32U);
    t21 = *((char **)t20);
    t22 = (t21 + 40U);
    t23 = *((char **)t22);
    memcpy(t23, t9, 16U);
    xsi_driver_first_trans_fast_port(t10);
    goto LAB12;

}
static void work_a_0194875085_3212880686_p_0(char *t0)
{
    char t5[16];
    char t10[16];
    char t15[16];
    char *t1;
    char *t2;
    char *t3;
    char *t4;
    char *t6;
    char *t7;
    char *t8;
    char *t9;
    char *t11;
    char *t12;
    char *t13;
    char *t14;
    char *t16;
    char *t17;
    char *t18;
    char *t19;
    unsigned int t20;
    unsigned int t21;
    unsigned int t22;
    int t23;
    int t24;
    unsigned char t25;
    unsigned char t26;
    unsigned char t27;
    unsigned int t28;
    int t29;
    int t30;
    int t31;
    int t32;
    unsigned char t33;
    unsigned int t34;
    unsigned int t35;
    unsigned int t36;

LAB0:    xsi_set_current_line(76, ng0);
    t1 = xsi_get_transient_memory(8U);
    memset(t1, 0, 8U);
    t2 = t1;
    memset(t2, (unsigned char)3, 8U);
    t3 = (t0 + 3052U);
    t4 = *((char **)t3);
    t3 = (t4 + 0);
    memcpy(t3, t1, 8U);
    xsi_set_current_line(77, ng0);
    t1 = xsi_get_transient_memory(32U);
    memset(t1, 0, 32U);
    t2 = t1;
    memset(t2, (unsigned char)2, 32U);
    t3 = (t0 + 3120U);
    t4 = *((char **)t3);
    t3 = (t4 + 0);
    memcpy(t3, t1, 32U);
    xsi_set_current_line(79, ng0);
    t1 = (t0 + 592U);
    t2 = *((char **)t1);
    t1 = (t0 + 684U);
    t3 = *((char **)t1);
    t4 = ((IEEE_P_2592010699) + 2332);
    t6 = (t0 + 7532U);
    t7 = (t0 + 7548U);
    t1 = xsi_base_array_concat(t1, t5, t4, (char)97, t2, t6, (char)97, t3, t7, (char)101);
    t8 = (t0 + 776U);
    t9 = *((char **)t8);
    t11 = ((IEEE_P_2592010699) + 2332);
    t12 = (t0 + 7564U);
    t8 = xsi_base_array_concat(t8, t10, t11, (char)97, t1, t5, (char)97, t9, t12, (char)101);
    t13 = (t0 + 868U);
    t14 = *((char **)t13);
    t16 = ((IEEE_P_2592010699) + 2332);
    t17 = (t0 + 7580U);
    t13 = xsi_base_array_concat(t13, t15, t16, (char)97, t8, t10, (char)97, t14, t17, (char)101);
    t18 = (t0 + 2236U);
    t19 = *((char **)t18);
    t18 = (t19 + 0);
    t20 = (8U + 8U);
    t21 = (t20 + 8U);
    t22 = (t21 + 8U);
    memcpy(t18, t13, t22);
    xsi_set_current_line(80, ng0);
    t1 = (t0 + 960U);
    t2 = *((char **)t1);
    t1 = (t0 + 1052U);
    t3 = *((char **)t1);
    t4 = ((IEEE_P_2592010699) + 2332);
    t6 = (t0 + 7596U);
    t7 = (t0 + 7612U);
    t1 = xsi_base_array_concat(t1, t5, t4, (char)97, t2, t6, (char)97, t3, t7, (char)101);
    t8 = (t0 + 1144U);
    t9 = *((char **)t8);
    t11 = ((IEEE_P_2592010699) + 2332);
    t12 = (t0 + 7628U);
    t8 = xsi_base_array_concat(t8, t10, t11, (char)97, t1, t5, (char)97, t9, t12, (char)101);
    t13 = (t0 + 1236U);
    t14 = *((char **)t13);
    t16 = ((IEEE_P_2592010699) + 2332);
    t17 = (t0 + 7644U);
    t13 = xsi_base_array_concat(t13, t15, t16, (char)97, t8, t10, (char)97, t14, t17, (char)101);
    t18 = (t0 + 2304U);
    t19 = *((char **)t18);
    t18 = (t19 + 0);
    t20 = (8U + 8U);
    t21 = (t20 + 8U);
    t22 = (t21 + 8U);
    memcpy(t18, t13, t22);
    xsi_set_current_line(83, ng0);
    t1 = (t0 + 2236U);
    t2 = *((char **)t1);
    t1 = ((WORK_P_0453171477) + 808U);
    t3 = *((char **)t1);
    t23 = *((int *)t3);
    t24 = (t23 - 31);
    t20 = (t24 * -1);
    t21 = (1U * t20);
    t22 = (0 + t21);
    t1 = (t2 + t22);
    t25 = *((unsigned char *)t1);
    t4 = (t0 + 2372U);
    t6 = *((char **)t4);
    t4 = (t6 + 0);
    *((unsigned char *)t4) = t25;
    xsi_set_current_line(84, ng0);
    t1 = (t0 + 2304U);
    t2 = *((char **)t1);
    t1 = ((WORK_P_0453171477) + 808U);
    t3 = *((char **)t1);
    t23 = *((int *)t3);
    t24 = (t23 - 31);
    t20 = (t24 * -1);
    t21 = (1U * t20);
    t22 = (0 + t21);
    t1 = (t2 + t22);
    t25 = *((unsigned char *)t1);
    t4 = (t0 + 2440U);
    t6 = *((char **)t4);
    t4 = (t6 + 0);
    *((unsigned char *)t4) = t25;
    xsi_set_current_line(87, ng0);
    t1 = (t0 + 2236U);
    t2 = *((char **)t1);
    t1 = ((WORK_P_0453171477) + 808U);
    t3 = *((char **)t1);
    t23 = *((int *)t3);
    t24 = (t23 - 1);
    t20 = (31 - t24);
    t21 = (t20 * 1U);
    t22 = (0 + t21);
    t1 = (t2 + t22);
    t4 = (t0 + 2508U);
    t6 = *((char **)t4);
    t4 = (t6 + 0);
    memcpy(t4, t1, 8U);
    xsi_set_current_line(88, ng0);
    t1 = (t0 + 2304U);
    t2 = *((char **)t1);
    t1 = ((WORK_P_0453171477) + 808U);
    t3 = *((char **)t1);
    t23 = *((int *)t3);
    t24 = (t23 - 1);
    t20 = (31 - t24);
    t21 = (t20 * 1U);
    t22 = (0 + t21);
    t1 = (t2 + t22);
    t4 = (t0 + 2576U);
    t6 = *((char **)t4);
    t4 = (t6 + 0);
    memcpy(t4, t1, 8U);
    xsi_set_current_line(92, ng0);
    t1 = xsi_get_transient_memory(45U);
    memset(t1, 0, 45U);
    t2 = t1;
    memset(t2, (unsigned char)2, 45U);
    t3 = (t0 + 2644U);
    t4 = *((char **)t3);
    t3 = (t4 + 0);
    memcpy(t3, t1, 45U);
    xsi_set_current_line(93, ng0);
    t1 = xsi_get_transient_memory(45U);
    memset(t1, 0, 45U);
    t2 = t1;
    memset(t2, (unsigned char)2, 45U);
    t3 = (t0 + 2712U);
    t4 = *((char **)t3);
    t3 = (t4 + 0);
    memcpy(t3, t1, 45U);
    xsi_set_current_line(102, ng0);
    t1 = (t0 + 2236U);
    t2 = *((char **)t1);
    t1 = (t0 + 3120U);
    t3 = *((char **)t1);
    t1 = ((IEEE_P_2592010699) + 2332);
    t26 = xsi_vhdl_greater(t1, t2, 32U, t3, 32U);
    if (t26 == 1)
        goto LAB5;

LAB6:    t25 = (unsigned char)0;

LAB7:    if (t25 != 0)
        goto LAB2;

LAB4:
LAB3:    xsi_set_current_line(105, ng0);
    t1 = (t0 + 2304U);
    t2 = *((char **)t1);
    t1 = (t0 + 3120U);
    t3 = *((char **)t1);
    t1 = ((IEEE_P_2592010699) + 2332);
    t26 = xsi_vhdl_greater(t1, t2, 32U, t3, 32U);
    if (t26 == 1)
        goto LAB11;

LAB12:    t25 = (unsigned char)0;

LAB13:    if (t25 != 0)
        goto LAB8;

LAB10:
LAB9:    xsi_set_current_line(118, ng0);
    t1 = (t0 + 2508U);
    t2 = *((char **)t1);
    t1 = (t0 + 2576U);
    t3 = *((char **)t1);
    t1 = ((IEEE_P_2592010699) + 2332);
    t25 = xsi_vhdl_greater(t1, t2, 8U, t3, 8U);
    if (t25 != 0)
        goto LAB14;

LAB16:    xsi_set_current_line(128, ng0);
    t1 = (t0 + 3188U);
    t2 = *((char **)t1);
    t1 = (t2 + 0);
    *((unsigned char *)t1) = (unsigned char)2;
    xsi_set_current_line(129, ng0);
    t1 = (t0 + 3256U);
    t2 = *((char **)t1);
    t1 = (t2 + 0);
    *((unsigned char *)t1) = (unsigned char)2;
    xsi_set_current_line(131, ng0);
    t1 = (t0 + 2576U);
    t2 = *((char **)t1);
    t1 = (t0 + 7772U);
    t3 = (t0 + 2508U);
    t4 = *((char **)t3);
    t3 = (t0 + 7756U);
    t6 = ieee_p_1242562249_sub_1547270861_1035706684(IEEE_P_1242562249, t5, t2, t1, t4, t3);
    t23 = ieee_p_1242562249_sub_1657552908_1035706684(IEEE_P_1242562249, t6, t5);
    t7 = (t0 + 3324U);
    t8 = *((char **)t7);
    t7 = (t8 + 0);
    *((int *)t7) = t23;
    xsi_set_current_line(133, ng0);
    t1 = (t0 + 3324U);
    t2 = *((char **)t1);
    t23 = *((int *)t2);
    t24 = (t23 - 1);
    t1 = (t0 + 8288);
    *((int *)t1) = 0;
    t3 = (t0 + 8292);
    *((int *)t3) = t24;
    t29 = 0;
    t30 = t24;

LAB22:    if (t29 <= t30)
        goto LAB23;

LAB25:
LAB15:    xsi_set_current_line(142, ng0);
    t1 = (t0 + 2508U);
    t2 = *((char **)t1);
    t1 = (t0 + 2576U);
    t3 = *((char **)t1);
    t25 = 1;
    if (8U == 8U)
        goto LAB30;

LAB31:    t25 = 0;

LAB32:    if (t25 != 0)
        goto LAB27;

LAB29:
LAB28:    xsi_set_current_line(151, ng0);
    t1 = (t0 + 3188U);
    t2 = *((char **)t1);
    t25 = *((unsigned char *)t2);
    t26 = (t25 == (unsigned char)3);
    if (t26 != 0)
        goto LAB39;

LAB41:    xsi_set_current_line(154, ng0);
    t1 = (t0 + 2576U);
    t2 = *((char **)t1);
    t1 = (t0 + 2984U);
    t3 = *((char **)t1);
    t1 = (t3 + 0);
    memcpy(t1, t2, 8U);

LAB40:    xsi_set_current_line(166, ng0);
    t1 = (t0 + 1972U);
    t2 = *((char **)t1);
    t25 = *((unsigned char *)t2);
    t26 = (t25 == (unsigned char)3);
    if (t26 != 0)
        goto LAB42;

LAB44:
LAB43:    xsi_set_current_line(170, ng0);
    t1 = (t0 + 2372U);
    t2 = *((char **)t1);
    t25 = *((unsigned char *)t2);
    t1 = (t0 + 2440U);
    t3 = *((char **)t1);
    t26 = *((unsigned char *)t3);
    t27 = (t25 == t26);
    if (t27 != 0)
        goto LAB45;

LAB47:    xsi_set_current_line(173, ng0);
    t1 = (t0 + 3256U);
    t2 = *((char **)t1);
    t25 = *((unsigned char *)t2);
    t26 = (t25 == (unsigned char)3);
    if (t26 != 0)
        goto LAB48;

LAB50:    xsi_set_current_line(176, ng0);
    t1 = (t0 + 2440U);
    t2 = *((char **)t1);
    t25 = *((unsigned char *)t2);
    t1 = (t0 + 2916U);
    t3 = *((char **)t1);
    t1 = (t3 + 0);
    *((unsigned char *)t1) = t25;

LAB49:
LAB46:    xsi_set_current_line(185, ng0);
    t1 = (t0 + 2644U);
    t2 = *((char **)t1);
    t1 = ((WORK_P_0453171477) + 944U);
    t3 = *((char **)t1);
    t23 = *((int *)t3);
    t20 = (44 - t23);
    t21 = (t20 * 1U);
    t22 = (0 + t21);
    t1 = (t2 + t22);
    t6 = ((IEEE_P_2592010699) + 2332);
    t7 = (t10 + 0U);
    t8 = (t7 + 0U);
    *((int *)t8) = 44;
    t8 = (t7 + 4U);
    *((int *)t8) = 1;
    t8 = (t7 + 8U);
    *((int *)t8) = -1;
    t24 = (1 - 44);
    t28 = (t24 * -1);
    t28 = (t28 + 1);
    t8 = (t7 + 12U);
    *((unsigned int *)t8) = t28;
    t4 = xsi_base_array_concat(t4, t5, t6, (char)99, (unsigned char)2, (char)97, t1, t10, (char)101);
    t8 = (t0 + 2644U);
    t9 = *((char **)t8);
    t8 = (t9 + 0);
    t28 = (1U + 44U);
    memcpy(t8, t4, t28);
    xsi_set_current_line(186, ng0);
    t1 = (t0 + 2712U);
    t2 = *((char **)t1);
    t1 = ((WORK_P_0453171477) + 944U);
    t3 = *((char **)t1);
    t23 = *((int *)t3);
    t20 = (44 - t23);
    t21 = (t20 * 1U);
    t22 = (0 + t21);
    t1 = (t2 + t22);
    t6 = ((IEEE_P_2592010699) + 2332);
    t7 = (t10 + 0U);
    t8 = (t7 + 0U);
    *((int *)t8) = 44;
    t8 = (t7 + 4U);
    *((int *)t8) = 1;
    t8 = (t7 + 8U);
    *((int *)t8) = -1;
    t24 = (1 - 44);
    t28 = (t24 * -1);
    t28 = (t28 + 1);
    t8 = (t7 + 12U);
    *((unsigned int *)t8) = t28;
    t4 = xsi_base_array_concat(t4, t5, t6, (char)99, (unsigned char)2, (char)97, t1, t10, (char)101);
    t8 = (t0 + 2712U);
    t9 = *((char **)t8);
    t8 = (t9 + 0);
    t28 = (1U + 44U);
    memcpy(t8, t4, t28);
    xsi_set_current_line(189, ng0);
    t1 = (t0 + 2644U);
    t2 = *((char **)t1);
    t1 = (t0 + 4120);
    t3 = (t1 + 32U);
    t4 = *((char **)t3);
    t6 = (t4 + 40U);
    t7 = *((char **)t6);
    memcpy(t7, t2, 45U);
    xsi_driver_first_trans_fast_port(t1);
    xsi_set_current_line(190, ng0);
    t1 = (t0 + 2712U);
    t2 = *((char **)t1);
    t1 = (t0 + 4156);
    t3 = (t1 + 32U);
    t4 = *((char **)t3);
    t6 = (t4 + 40U);
    t7 = *((char **)t6);
    memcpy(t7, t2, 45U);
    xsi_driver_first_trans_fast_port(t1);
    xsi_set_current_line(195, ng0);
    t1 = (t0 + 2372U);
    t2 = *((char **)t1);
    t25 = *((unsigned char *)t2);
    t1 = (t0 + 2440U);
    t3 = *((char **)t1);
    t26 = *((unsigned char *)t3);
    t27 = (t25 == t26);
    if (t27 != 0)
        goto LAB51;

LAB53:    xsi_set_current_line(205, ng0);
    t1 = (t0 + 3256U);
    t2 = *((char **)t1);
    t25 = *((unsigned char *)t2);
    t26 = (t25 == (unsigned char)3);
    if (t26 != 0)
        goto LAB60;

LAB62:    xsi_set_current_line(208, ng0);
    t1 = (t0 + 2712U);
    t2 = *((char **)t1);
    t1 = (t0 + 7804U);
    t3 = (t0 + 2644U);
    t4 = *((char **)t3);
    t3 = (t0 + 7788U);
    t6 = ieee_p_1242562249_sub_1547270861_1035706684(IEEE_P_1242562249, t5, t2, t1, t4, t3);
    t7 = (t0 + 2780U);
    t8 = *((char **)t7);
    t7 = (t8 + 0);
    t9 = (t5 + 12U);
    t20 = *((unsigned int *)t9);
    t21 = (1U * t20);
    memcpy(t7, t6, t21);

LAB61:    xsi_set_current_line(210, ng0);

LAB63:    t1 = (t0 + 2780U);
    t2 = *((char **)t1);
    t1 = ((WORK_P_0453171477) + 944U);
    t3 = *((char **)t1);
    t23 = *((int *)t3);
    t24 = (t23 - 1);
    t29 = (t24 - 44);
    t20 = (t29 * -1);
    t21 = (1U * t20);
    t22 = (0 + t21);
    t1 = (t2 + t22);
    t26 = *((unsigned char *)t1);
    t27 = (t26 == (unsigned char)2);
    if (t27 == 1)
        goto LAB67;

LAB68:    t25 = (unsigned char)0;

LAB69:    if (t25 != 0)
        goto LAB64;

LAB66:
LAB52:    xsi_set_current_line(219, ng0);
    t1 = (t0 + 2984U);
    t2 = *((char **)t1);
    t1 = (t0 + 3052U);
    t3 = *((char **)t1);
    t25 = 1;
    if (8U == 8U)
        goto LAB73;

LAB74:    t25 = 0;

LAB75:    if (t25 != 0)
        goto LAB70;

LAB72:
LAB71:    xsi_set_current_line(224, ng0);
    t1 = (t0 + 2916U);
    t2 = *((char **)t1);
    t25 = *((unsigned char *)t2);
    t1 = (t0 + 2984U);
    t3 = *((char **)t1);
    t4 = ((IEEE_P_2592010699) + 2332);
    t6 = (t0 + 7852U);
    t1 = xsi_base_array_concat(t1, t5, t4, (char)99, t25, (char)97, t3, t6, (char)101);
    t7 = (t0 + 2780U);
    t8 = *((char **)t7);
    t7 = ((WORK_P_0453171477) + 944U);
    t9 = *((char **)t7);
    t23 = *((int *)t9);
    t24 = (t23 - 2);
    t20 = (44 - t24);
    t21 = (t20 * 1U);
    t22 = (0 + t21);
    t7 = (t8 + t22);
    t12 = ((IEEE_P_2592010699) + 2332);
    t13 = (t15 + 0U);
    t14 = (t13 + 0U);
    *((int *)t14) = 42;
    t14 = (t13 + 4U);
    *((int *)t14) = 0;
    t14 = (t13 + 8U);
    *((int *)t14) = -1;
    t29 = (0 - 42);
    t28 = (t29 * -1);
    t28 = (t28 + 1);
    t14 = (t13 + 12U);
    *((unsigned int *)t14) = t28;
    t11 = xsi_base_array_concat(t11, t10, t12, (char)97, t1, t5, (char)97, t7, t15, (char)101);
    t14 = (t0 + 2848U);
    t16 = *((char **)t14);
    t14 = (t16 + 0);
    t28 = (1U + 8U);
    t34 = (t28 + 43U);
    memcpy(t14, t11, t34);
    xsi_set_current_line(232, ng0);
    t1 = (t0 + 2848U);
    t2 = *((char **)t1);
    t1 = (t0 + 4192);
    t3 = (t1 + 32U);
    t4 = *((char **)t3);
    t6 = (t4 + 40U);
    t7 = *((char **)t6);
    memcpy(t7, t2, 32U);
    xsi_driver_first_trans_fast_port(t1);
    xsi_set_current_line(233, ng0);
    t1 = (t0 + 2780U);
    t2 = *((char **)t1);
    t1 = ((WORK_P_0453171477) + 944U);
    t3 = *((char **)t1);
    t23 = *((int *)t3);
    t24 = (t23 - 44);
    t20 = (t24 * -1);
    t21 = (1U * t20);
    t22 = (0 + t21);
    t1 = (t2 + t22);
    t25 = *((unsigned char *)t1);
    t4 = (t0 + 4228);
    t6 = (t4 + 32U);
    t7 = *((char **)t6);
    t8 = (t7 + 40U);
    t9 = *((char **)t8);
    *((unsigned char *)t9) = t25;
    xsi_driver_first_trans_fast_port(t4);
    xsi_set_current_line(234, ng0);
    t1 = (t0 + 2984U);
    t2 = *((char **)t1);
    t1 = (t0 + 4264);
    t3 = (t1 + 32U);
    t4 = *((char **)t3);
    t6 = (t4 + 40U);
    t7 = *((char **)t6);
    memcpy(t7, t2, 8U);
    xsi_driver_first_trans_fast_port(t1);
    xsi_set_current_line(235, ng0);
    t1 = (t0 + 2916U);
    t2 = *((char **)t1);
    t25 = *((unsigned char *)t2);
    t1 = (t0 + 4300);
    t3 = (t1 + 32U);
    t4 = *((char **)t3);
    t6 = (t4 + 40U);
    t7 = *((char **)t6);
    *((unsigned char *)t7) = t25;
    xsi_driver_first_trans_fast_port(t1);
    t1 = (t0 + 4076);
    *((int *)t1) = 1;

LAB1:    return;
LAB2:    xsi_set_current_line(103, ng0);
    t8 = (t0 + 2236U);
    t9 = *((char **)t8);
    t8 = ((WORK_P_0453171477) + 740U);
    t11 = *((char **)t8);
    t23 = *((int *)t11);
    t20 = (31 - t23);
    t21 = (t20 * 1U);
    t22 = (0 + t21);
    t8 = (t9 + t22);
    t13 = ((IEEE_P_2592010699) + 2332);
    t14 = (t10 + 0U);
    t16 = (t14 + 0U);
    *((int *)t16) = 22;
    t16 = (t14 + 4U);
    *((int *)t16) = 0;
    t16 = (t14 + 8U);
    *((int *)t16) = -1;
    t24 = (0 - 22);
    t28 = (t24 * -1);
    t28 = (t28 + 1);
    t16 = (t14 + 12U);
    *((unsigned int *)t16) = t28;
    t12 = xsi_base_array_concat(t12, t5, t13, (char)99, (unsigned char)3, (char)97, t8, t10, (char)101);
    t16 = (t0 + 2644U);
    t17 = *((char **)t16);
    t16 = (t17 + 0);
    t28 = (1U + 23U);
    memcpy(t16, t12, t28);
    goto LAB3;

LAB5:    t4 = (t0 + 2508U);
    t6 = *((char **)t4);
    t4 = (t0 + 3120U);
    t7 = *((char **)t4);
    t4 = ((IEEE_P_2592010699) + 2332);
    t27 = xsi_vhdl_greater(t4, t6, 8U, t7, 32U);
    t25 = t27;
    goto LAB7;

LAB8:    xsi_set_current_line(106, ng0);
    t8 = (t0 + 2304U);
    t9 = *((char **)t8);
    t8 = ((WORK_P_0453171477) + 740U);
    t11 = *((char **)t8);
    t23 = *((int *)t11);
    t20 = (31 - t23);
    t21 = (t20 * 1U);
    t22 = (0 + t21);
    t8 = (t9 + t22);
    t13 = ((IEEE_P_2592010699) + 2332);
    t14 = (t10 + 0U);
    t16 = (t14 + 0U);
    *((int *)t16) = 22;
    t16 = (t14 + 4U);
    *((int *)t16) = 0;
    t16 = (t14 + 8U);
    *((int *)t16) = -1;
    t24 = (0 - 22);
    t28 = (t24 * -1);
    t28 = (t28 + 1);
    t16 = (t14 + 12U);
    *((unsigned int *)t16) = t28;
    t12 = xsi_base_array_concat(t12, t5, t13, (char)99, (unsigned char)3, (char)97, t8, t10, (char)101);
    t16 = (t0 + 2712U);
    t17 = *((char **)t16);
    t16 = (t17 + 0);
    t28 = (1U + 23U);
    memcpy(t16, t12, t28);
    goto LAB9;

LAB11:    t4 = (t0 + 2576U);
    t6 = *((char **)t4);
    t4 = (t0 + 3120U);
    t7 = *((char **)t4);
    t4 = ((IEEE_P_2592010699) + 2332);
    t27 = xsi_vhdl_greater(t4, t6, 8U, t7, 32U);
    t25 = t27;
    goto LAB13;

LAB14:    xsi_set_current_line(119, ng0);
    t4 = (t0 + 3188U);
    t6 = *((char **)t4);
    t4 = (t6 + 0);
    *((unsigned char *)t4) = (unsigned char)3;
    xsi_set_current_line(120, ng0);
    t1 = (t0 + 3256U);
    t2 = *((char **)t1);
    t1 = (t2 + 0);
    *((unsigned char *)t1) = (unsigned char)3;
    xsi_set_current_line(122, ng0);
    t1 = (t0 + 2508U);
    t2 = *((char **)t1);
    t1 = (t0 + 7756U);
    t3 = (t0 + 2576U);
    t4 = *((char **)t3);
    t3 = (t0 + 7772U);
    t6 = ieee_p_1242562249_sub_1547270861_1035706684(IEEE_P_1242562249, t5, t2, t1, t4, t3);
    t23 = ieee_p_1242562249_sub_1657552908_1035706684(IEEE_P_1242562249, t6, t5);
    t7 = (t0 + 3324U);
    t8 = *((char **)t7);
    t7 = (t8 + 0);
    *((int *)t7) = t23;
    xsi_set_current_line(124, ng0);
    t1 = (t0 + 3324U);
    t2 = *((char **)t1);
    t23 = *((int *)t2);
    t24 = (t23 - 1);
    t1 = (t0 + 8280);
    *((int *)t1) = 0;
    t3 = (t0 + 8284);
    *((int *)t3) = t24;
    t29 = 0;
    t30 = t24;

LAB17:    if (t29 <= t30)
        goto LAB18;

LAB20:    goto LAB15;

LAB18:    xsi_set_current_line(125, ng0);
    t4 = (t0 + 2712U);
    t6 = *((char **)t4);
    t4 = ((WORK_P_0453171477) + 944U);
    t7 = *((char **)t4);
    t31 = *((int *)t7);
    t20 = (44 - t31);
    t21 = (t20 * 1U);
    t22 = (0 + t21);
    t4 = (t6 + t22);
    t9 = ((IEEE_P_2592010699) + 2332);
    t11 = (t10 + 0U);
    t12 = (t11 + 0U);
    *((int *)t12) = 44;
    t12 = (t11 + 4U);
    *((int *)t12) = 1;
    t12 = (t11 + 8U);
    *((int *)t12) = -1;
    t32 = (1 - 44);
    t28 = (t32 * -1);
    t28 = (t28 + 1);
    t12 = (t11 + 12U);
    *((unsigned int *)t12) = t28;
    t8 = xsi_base_array_concat(t8, t5, t9, (char)99, (unsigned char)2, (char)97, t4, t10, (char)101);
    t12 = (t0 + 2712U);
    t13 = *((char **)t12);
    t12 = (t13 + 0);
    t28 = (1U + 44U);
    memcpy(t12, t8, t28);

LAB19:    t1 = (t0 + 8280);
    t29 = *((int *)t1);
    t2 = (t0 + 8284);
    t30 = *((int *)t2);
    if (t29 == t30)
        goto LAB20;

LAB21:    t23 = (t29 + 1);
    t29 = t23;
    t3 = (t0 + 8280);
    *((int *)t3) = t29;
    goto LAB17;

LAB23:    xsi_set_current_line(134, ng0);
    t4 = (t0 + 2644U);
    t6 = *((char **)t4);
    t4 = ((WORK_P_0453171477) + 944U);
    t7 = *((char **)t4);
    t31 = *((int *)t7);
    t20 = (44 - t31);
    t21 = (t20 * 1U);
    t22 = (0 + t21);
    t4 = (t6 + t22);
    t9 = ((IEEE_P_2592010699) + 2332);
    t11 = (t10 + 0U);
    t12 = (t11 + 0U);
    *((int *)t12) = 44;
    t12 = (t11 + 4U);
    *((int *)t12) = 1;
    t12 = (t11 + 8U);
    *((int *)t12) = -1;
    t32 = (1 - 44);
    t28 = (t32 * -1);
    t28 = (t28 + 1);
    t12 = (t11 + 12U);
    *((unsigned int *)t12) = t28;
    t8 = xsi_base_array_concat(t8, t5, t9, (char)99, (unsigned char)2, (char)97, t4, t10, (char)101);
    t12 = (t0 + 2644U);
    t13 = *((char **)t12);
    t12 = (t13 + 0);
    t28 = (1U + 44U);
    memcpy(t12, t8, t28);

LAB24:    t1 = (t0 + 8288);
    t29 = *((int *)t1);
    t2 = (t0 + 8292);
    t30 = *((int *)t2);
    if (t29 == t30)
        goto LAB25;

LAB26:    t23 = (t29 + 1);
    t29 = t23;
    t3 = (t0 + 8288);
    *((int *)t3) = t29;
    goto LAB22;

LAB27:    xsi_set_current_line(143, ng0);
    t6 = (t0 + 2644U);
    t7 = *((char **)t6);
    t6 = (t0 + 2712U);
    t8 = *((char **)t6);
    t6 = ((IEEE_P_2592010699) + 2332);
    t26 = xsi_vhdl_greater(t6, t7, 45U, t8, 45U);
    if (t26 != 0)
        goto LAB36;

LAB38:    xsi_set_current_line(146, ng0);
    t1 = (t0 + 3256U);
    t2 = *((char **)t1);
    t1 = (t2 + 0);
    *((unsigned char *)t1) = (unsigned char)2;

LAB37:    goto LAB28;

LAB30:    t20 = 0;

LAB33:    if (t20 < 8U)
        goto LAB34;
    else
        goto LAB32;

LAB34:    t1 = (t2 + t20);
    t4 = (t3 + t20);
    if (*((unsigned char *)t1) != *((unsigned char *)t4))
        goto LAB31;

LAB35:    t20 = (t20 + 1);
    goto LAB33;

LAB36:    xsi_set_current_line(144, ng0);
    t9 = (t0 + 3256U);
    t11 = *((char **)t9);
    t9 = (t11 + 0);
    *((unsigned char *)t9) = (unsigned char)3;
    goto LAB37;

LAB39:    xsi_set_current_line(152, ng0);
    t1 = (t0 + 2508U);
    t3 = *((char **)t1);
    t1 = (t0 + 2984U);
    t4 = *((char **)t1);
    t1 = (t4 + 0);
    memcpy(t1, t3, 8U);
    goto LAB40;

LAB42:    xsi_set_current_line(167, ng0);
    t1 = (t0 + 2440U);
    t3 = *((char **)t1);
    t27 = *((unsigned char *)t3);
    t33 = ieee_p_2592010699_sub_1690584930_503743352(IEEE_P_2592010699, t27);
    t1 = (t0 + 2440U);
    t4 = *((char **)t1);
    t1 = (t4 + 0);
    *((unsigned char *)t1) = t33;
    goto LAB43;

LAB45:    xsi_set_current_line(171, ng0);
    t1 = (t0 + 2372U);
    t4 = *((char **)t1);
    t33 = *((unsigned char *)t4);
    t1 = (t0 + 2916U);
    t6 = *((char **)t1);
    t1 = (t6 + 0);
    *((unsigned char *)t1) = t33;
    goto LAB46;

LAB48:    xsi_set_current_line(174, ng0);
    t1 = (t0 + 2372U);
    t3 = *((char **)t1);
    t27 = *((unsigned char *)t3);
    t1 = (t0 + 2916U);
    t4 = *((char **)t1);
    t1 = (t4 + 0);
    *((unsigned char *)t1) = t27;
    goto LAB49;

LAB51:    xsi_set_current_line(196, ng0);
    t1 = (t0 + 2644U);
    t4 = *((char **)t1);
    t1 = (t0 + 7788U);
    t6 = (t0 + 2712U);
    t7 = *((char **)t6);
    t6 = (t0 + 7804U);
    t8 = ieee_p_1242562249_sub_1547198987_1035706684(IEEE_P_1242562249, t5, t4, t1, t7, t6);
    t9 = (t0 + 2780U);
    t11 = *((char **)t9);
    t9 = (t11 + 0);
    t12 = (t5 + 12U);
    t20 = *((unsigned int *)t12);
    t21 = (1U * t20);
    memcpy(t9, t8, t21);
    xsi_set_current_line(198, ng0);
    t1 = (t0 + 2780U);
    t2 = *((char **)t1);
    t1 = ((WORK_P_0453171477) + 944U);
    t3 = *((char **)t1);
    t23 = *((int *)t3);
    t24 = (t23 - 44);
    t20 = (t24 * -1);
    t21 = (1U * t20);
    t22 = (0 + t21);
    t1 = (t2 + t22);
    t25 = *((unsigned char *)t1);
    t26 = (t25 == (unsigned char)3);
    if (t26 != 0)
        goto LAB54;

LAB56:
LAB55:    goto LAB52;

LAB54:    xsi_set_current_line(199, ng0);
    t4 = (t0 + 2984U);
    t6 = *((char **)t4);
    t4 = (t0 + 3052U);
    t7 = *((char **)t4);
    t4 = ((IEEE_P_2592010699) + 2332);
    t27 = xsi_vhdl_lessthan(t4, t6, 8U, t7, 8U);
    if (t27 != 0)
        goto LAB57;

LAB59:
LAB58:    goto LAB55;

LAB57:    xsi_set_current_line(200, ng0);
    t8 = (t0 + 2984U);
    t9 = *((char **)t8);
    t8 = (t0 + 7852U);
    t11 = ieee_p_1242562249_sub_1919365254_1035706684(IEEE_P_1242562249, t5, t9, t8, 1);
    t12 = (t0 + 2984U);
    t13 = *((char **)t12);
    t12 = (t13 + 0);
    t14 = (t5 + 12U);
    t28 = *((unsigned int *)t14);
    t34 = (1U * t28);
    memcpy(t12, t11, t34);
    xsi_set_current_line(201, ng0);
    t1 = (t0 + 2780U);
    t2 = *((char **)t1);
    t1 = ((WORK_P_0453171477) + 944U);
    t3 = *((char **)t1);
    t23 = *((int *)t3);
    t20 = (44 - t23);
    t21 = (t20 * 1U);
    t22 = (0 + t21);
    t1 = (t2 + t22);
    t6 = ((IEEE_P_2592010699) + 2332);
    t7 = (t10 + 0U);
    t8 = (t7 + 0U);
    *((int *)t8) = 44;
    t8 = (t7 + 4U);
    *((int *)t8) = 1;
    t8 = (t7 + 8U);
    *((int *)t8) = -1;
    t24 = (1 - 44);
    t28 = (t24 * -1);
    t28 = (t28 + 1);
    t8 = (t7 + 12U);
    *((unsigned int *)t8) = t28;
    t4 = xsi_base_array_concat(t4, t5, t6, (char)99, (unsigned char)3, (char)97, t1, t10, (char)101);
    t8 = (t0 + 2780U);
    t9 = *((char **)t8);
    t8 = (t9 + 0);
    t28 = (1U + 44U);
    memcpy(t8, t4, t28);
    goto LAB58;

LAB60:    xsi_set_current_line(206, ng0);
    t1 = (t0 + 2644U);
    t3 = *((char **)t1);
    t1 = (t0 + 7788U);
    t4 = (t0 + 2712U);
    t6 = *((char **)t4);
    t4 = (t0 + 7804U);
    t7 = ieee_p_1242562249_sub_1547270861_1035706684(IEEE_P_1242562249, t5, t3, t1, t6, t4);
    t8 = (t0 + 2780U);
    t9 = *((char **)t8);
    t8 = (t9 + 0);
    t11 = (t5 + 12U);
    t20 = *((unsigned int *)t11);
    t21 = (1U * t20);
    memcpy(t8, t7, t21);
    goto LAB61;

LAB64:    xsi_set_current_line(211, ng0);
    t12 = (t0 + 2984U);
    t13 = *((char **)t12);
    t12 = (t0 + 7852U);
    t14 = ieee_p_1242562249_sub_1919437128_1035706684(IEEE_P_1242562249, t10, t13, t12, 1);
    t16 = (t0 + 2984U);
    t17 = *((char **)t16);
    t16 = (t17 + 0);
    t18 = (t10 + 12U);
    t35 = *((unsigned int *)t18);
    t36 = (1U * t35);
    memcpy(t16, t14, t36);
    xsi_set_current_line(212, ng0);
    t1 = (t0 + 2780U);
    t2 = *((char **)t1);
    t1 = ((WORK_P_0453171477) + 944U);
    t3 = *((char **)t1);
    t23 = *((int *)t3);
    t24 = (t23 - 1);
    t20 = (44 - t24);
    t21 = (t20 * 1U);
    t22 = (0 + t21);
    t1 = (t2 + t22);
    t6 = ((IEEE_P_2592010699) + 2332);
    t7 = (t10 + 0U);
    t8 = (t7 + 0U);
    *((int *)t8) = 43;
    t8 = (t7 + 4U);
    *((int *)t8) = 0;
    t8 = (t7 + 8U);
    *((int *)t8) = -1;
    t29 = (0 - 43);
    t28 = (t29 * -1);
    t28 = (t28 + 1);
    t8 = (t7 + 12U);
    *((unsigned int *)t8) = t28;
    t4 = xsi_base_array_concat(t4, t5, t6, (char)97, t1, t10, (char)99, (unsigned char)2, (char)101);
    t8 = (t0 + 2780U);
    t9 = *((char **)t8);
    t8 = (t9 + 0);
    t28 = (44U + 1U);
    memcpy(t8, t4, t28);
    goto LAB63;

LAB65:;
LAB67:    t4 = (t0 + 2984U);
    t6 = *((char **)t4);
    t4 = (t0 + 3052U);
    t7 = *((char **)t4);
    t4 = (t0 + 7868U);
    t8 = ieee_p_2592010699_sub_1837678034_503743352(IEEE_P_2592010699, t5, t7, t4);
    t9 = (t5 + 12U);
    t28 = *((unsigned int *)t9);
    t34 = (1U * t28);
    t11 = ((IEEE_P_2592010699) + 2332);
    t33 = xsi_vhdl_greater(t11, t6, 8U, t8, t34);
    t25 = t33;
    goto LAB69;

LAB70:    xsi_set_current_line(220, ng0);
    t6 = xsi_get_transient_memory(45U);
    memset(t6, 0, 45U);
    t7 = t6;
    memset(t7, (unsigned char)2, 45U);
    t8 = (t0 + 2780U);
    t9 = *((char **)t8);
    t8 = (t9 + 0);
    memcpy(t8, t6, 45U);
    goto LAB71;

LAB73:    t20 = 0;

LAB76:    if (t20 < 8U)
        goto LAB77;
    else
        goto LAB75;

LAB77:    t1 = (t2 + t20);
    t4 = (t3 + t20);
    if (*((unsigned char *)t1) != *((unsigned char *)t4))
        goto LAB74;

LAB78:    t20 = (t20 + 1);
    goto LAB76;

}
예제 #18
0
static void work_a_1111616105_0831356973_p_1(char *t0)
{
    char *t1;
    char *t2;
    int t3;
    unsigned char t4;
    char *t5;
    char *t6;
    char *t7;
    char *t8;
    char *t9;
    char *t10;
    char *t11;
    int t12;
    unsigned int t13;
    unsigned int t14;
    unsigned int t15;

LAB0:    xsi_set_current_line(84, ng0);
    t1 = (t0 + 1352U);
    t2 = *((char **)t1);
    t1 = (t0 + 7204U);
    t3 = ieee_p_1242562249_sub_1657552908_1035706684(IEEE_P_1242562249, t2, t1);
    t4 = (t3 == 0);
    if (t4 != 0)
        goto LAB2;

LAB4:    xsi_set_current_line(85, ng0);
    t1 = (t0 + 2312U);
    t2 = *((char **)t1);
    t1 = (t0 + 1352U);
    t5 = *((char **)t1);
    t1 = (t0 + 7204U);
    t3 = ieee_p_1242562249_sub_1657552908_1035706684(IEEE_P_1242562249, t5, t1);
    t12 = (t3 - 31);
    t13 = (t12 * -1);
    xsi_vhdl_check_range_of_index(31, 0, -1, t3);
    t14 = (32U * t13);
    t15 = (0 + t14);
    t6 = (t2 + t15);
    t7 = (t0 + 4200);
    t8 = (t7 + 56U);
    t9 = *((char **)t8);
    t10 = (t9 + 56U);
    t11 = *((char **)t10);
    memcpy(t11, t6, 32U);
    xsi_driver_first_trans_fast_port(t7);

LAB3:    xsi_set_current_line(87, ng0);
    t1 = (t0 + 1512U);
    t2 = *((char **)t1);
    t1 = (t0 + 7220U);
    t3 = ieee_p_1242562249_sub_1657552908_1035706684(IEEE_P_1242562249, t2, t1);
    t4 = (t3 == 0);
    if (t4 != 0)
        goto LAB5;

LAB7:    xsi_set_current_line(88, ng0);
    t1 = (t0 + 2312U);
    t2 = *((char **)t1);
    t1 = (t0 + 1512U);
    t5 = *((char **)t1);
    t1 = (t0 + 7220U);
    t3 = ieee_p_1242562249_sub_1657552908_1035706684(IEEE_P_1242562249, t5, t1);
    t12 = (t3 - 31);
    t13 = (t12 * -1);
    xsi_vhdl_check_range_of_index(31, 0, -1, t3);
    t14 = (32U * t13);
    t15 = (0 + t14);
    t6 = (t2 + t15);
    t7 = (t0 + 4264);
    t8 = (t7 + 56U);
    t9 = *((char **)t8);
    t10 = (t9 + 56U);
    t11 = *((char **)t10);
    memcpy(t11, t6, 32U);
    xsi_driver_first_trans_fast_port(t7);

LAB6:    t1 = (t0 + 4056);
    *((int *)t1) = 1;

LAB1:    return;
LAB2:    xsi_set_current_line(84, ng0);
    t5 = (t0 + 8413);
    t7 = (t0 + 4200);
    t8 = (t7 + 56U);
    t9 = *((char **)t8);
    t10 = (t9 + 56U);
    t11 = *((char **)t10);
    memcpy(t11, t5, 32U);
    xsi_driver_first_trans_fast_port(t7);
    goto LAB3;

LAB5:    xsi_set_current_line(87, ng0);
    t5 = (t0 + 8445);
    t7 = (t0 + 4264);
    t8 = (t7 + 56U);
    t9 = *((char **)t8);
    t10 = (t9 + 56U);
    t11 = *((char **)t10);
    memcpy(t11, t5, 32U);
    xsi_driver_first_trans_fast_port(t7);
    goto LAB6;

}
예제 #19
0
static void work_a_1111616105_0831356973_p_0(char *t0)
{
    unsigned char t1;
    char *t2;
    unsigned char t3;
    char *t4;
    char *t5;
    unsigned char t6;
    unsigned char t7;
    char *t8;
    unsigned char t9;
    unsigned char t10;
    char *t11;
    char *t12;
    int t13;
    int t14;
    unsigned int t15;
    unsigned int t16;
    unsigned int t17;
    char *t18;
    char *t19;
    char *t20;
    char *t21;
    char *t22;

LAB0:    xsi_set_current_line(78, ng0);
    t2 = (t0 + 992U);
    t3 = xsi_signal_has_event(t2);
    if (t3 == 1)
        goto LAB5;

LAB6:    t1 = (unsigned char)0;

LAB7:    if (t1 != 0)
        goto LAB2;

LAB4:
LAB3:    t2 = (t0 + 4040);
    *((int *)t2) = 1;

LAB1:    return;
LAB2:    xsi_set_current_line(79, ng0);
    t4 = (t0 + 1192U);
    t8 = *((char **)t4);
    t9 = *((unsigned char *)t8);
    t10 = (t9 == (unsigned char)3);
    if (t10 != 0)
        goto LAB8;

LAB10:
LAB9:    goto LAB3;

LAB5:    t4 = (t0 + 1032U);
    t5 = *((char **)t4);
    t6 = *((unsigned char *)t5);
    t7 = (t6 == (unsigned char)3);
    t1 = t7;
    goto LAB7;

LAB8:    xsi_set_current_line(79, ng0);
    t4 = (t0 + 1832U);
    t11 = *((char **)t4);
    t4 = (t0 + 1672U);
    t12 = *((char **)t4);
    t4 = (t0 + 7236U);
    t13 = ieee_p_1242562249_sub_1657552908_1035706684(IEEE_P_1242562249, t12, t4);
    t14 = (t13 - 31);
    t15 = (t14 * -1);
    t16 = (32U * t15);
    t17 = (0U + t16);
    t18 = (t0 + 4136);
    t19 = (t18 + 56U);
    t20 = *((char **)t19);
    t21 = (t20 + 56U);
    t22 = *((char **)t21);
    memcpy(t22, t11, 32U);
    xsi_driver_first_trans_delta(t18, t17, 32U, 0LL);
    goto LAB9;

}
static void work_a_1879590719_3212880686_p_0(char *t0)
{
    char t16[16];
    char t23[16];
    char t25[16];
    char *t1;
    char *t2;
    char *t3;
    int t4;
    int t5;
    char *t6;
    char *t7;
    char *t8;
    char *t9;
    int t10;
    int t11;
    unsigned int t12;
    unsigned int t13;
    unsigned int t14;
    char *t15;
    unsigned char t17;
    unsigned char t18;
    unsigned char t19;
    unsigned char t20;
    unsigned char t21;
    unsigned char t22;
    char *t24;
    char *t26;
    char *t27;
    int t28;
    int t29;
    int t30;
    unsigned int t31;
    unsigned int t32;
    unsigned int t33;
    unsigned int t34;

LAB0:    t1 = (t0 + 3128U);
    t2 = *((char **)t1);
    if (t2 == 0)
        goto LAB2;

LAB3:    goto *t2;

LAB2:    xsi_set_current_line(36, ng0);
    t2 = (t0 + 10512);
    *((int *)t2) = 0;
    t3 = (t0 + 10516);
    *((int *)t3) = 511;
    t4 = 0;
    t5 = 511;

LAB4:    if (t4 <= t5)
        goto LAB5;

LAB7:    xsi_set_current_line(40, ng0);
    t2 = (t0 + 1728U);
    t3 = *((char **)t2);
    t2 = (t3 + 0);
    *((int *)t2) = 0;
    xsi_set_current_line(41, ng0);
    t2 = (t0 + 2296U);
    t3 = (t0 + 10520);
    t7 = (t16 + 0U);
    t8 = (t7 + 0U);
    *((int *)t8) = 1;
    t8 = (t7 + 4U);
    *((int *)t8) = 11;
    t8 = (t7 + 8U);
    *((int *)t8) = 1;
    t4 = (11 - 1);
    t12 = (t4 * 1);
    t12 = (t12 + 1);
    t8 = (t7 + 12U);
    *((unsigned int *)t8) = t12;
    std_textio_file_open1(t2, t3, t16, (unsigned char)0);
    xsi_set_current_line(42, ng0);

LAB9:    t2 = (t0 + 2296U);
    t17 = std_textio_endfile(t2);
    t18 = (!(t17));
    if (t18 != 0)
        goto LAB10;

LAB12:    xsi_set_current_line(76, ng0);

LAB46:
LAB47:    xsi_set_current_line(77, ng0);
    t2 = (t0 + 1968U);
    t3 = *((char **)t2);
    t2 = (t0 + 1032U);
    t6 = *((char **)t2);
    t12 = (31 - 8);
    t13 = (t12 * 1U);
    t14 = (0 + t13);
    t2 = (t6 + t14);
    t7 = (t16 + 0U);
    t8 = (t7 + 0U);
    *((int *)t8) = 8;
    t8 = (t7 + 4U);
    *((int *)t8) = 0;
    t8 = (t7 + 8U);
    *((int *)t8) = -1;
    t4 = (0 - 8);
    t31 = (t4 * -1);
    t31 = (t31 + 1);
    t8 = (t7 + 12U);
    *((unsigned int *)t8) = t31;
    t5 = ieee_p_1242562249_sub_1657552908_1035706684(IEEE_P_1242562249, t2, t16);
    t10 = (t5 - 511);
    t31 = (t10 * -1);
    xsi_vhdl_check_range_of_index(511, 0, -1, t5);
    t32 = (8U * t31);
    t33 = (0 + t32);
    t8 = (t3 + t33);
    t9 = (t0 + 3528);
    t15 = (t9 + 56U);
    t24 = *((char **)t15);
    t26 = (t24 + 56U);
    t27 = *((char **)t26);
    memcpy(t27, t8, 8U);
    xsi_driver_first_trans_delta(t9, 24U, 8U, 0LL);
    xsi_set_current_line(78, ng0);
    t2 = (t0 + 1968U);
    t3 = *((char **)t2);
    t2 = (t0 + 1032U);
    t6 = *((char **)t2);
    t12 = (31 - 8);
    t13 = (t12 * 1U);
    t14 = (0 + t13);
    t2 = (t6 + t14);
    t7 = (t16 + 0U);
    t8 = (t7 + 0U);
    *((int *)t8) = 8;
    t8 = (t7 + 4U);
    *((int *)t8) = 0;
    t8 = (t7 + 8U);
    *((int *)t8) = -1;
    t4 = (0 - 8);
    t31 = (t4 * -1);
    t31 = (t31 + 1);
    t8 = (t7 + 12U);
    *((unsigned int *)t8) = t31;
    t5 = ieee_p_1242562249_sub_1657552908_1035706684(IEEE_P_1242562249, t2, t16);
    t10 = (t5 + 1);
    t11 = (t10 - 511);
    t31 = (t11 * -1);
    xsi_vhdl_check_range_of_index(511, 0, -1, t10);
    t32 = (8U * t31);
    t33 = (0 + t32);
    t8 = (t3 + t33);
    t9 = (t0 + 3528);
    t15 = (t9 + 56U);
    t24 = *((char **)t15);
    t26 = (t24 + 56U);
    t27 = *((char **)t26);
    memcpy(t27, t8, 8U);
    xsi_driver_first_trans_delta(t9, 16U, 8U, 0LL);
    xsi_set_current_line(79, ng0);
    t2 = (t0 + 1968U);
    t3 = *((char **)t2);
    t2 = (t0 + 1032U);
    t6 = *((char **)t2);
    t12 = (31 - 8);
    t13 = (t12 * 1U);
    t14 = (0 + t13);
    t2 = (t6 + t14);
    t7 = (t16 + 0U);
    t8 = (t7 + 0U);
    *((int *)t8) = 8;
    t8 = (t7 + 4U);
    *((int *)t8) = 0;
    t8 = (t7 + 8U);
    *((int *)t8) = -1;
    t4 = (0 - 8);
    t31 = (t4 * -1);
    t31 = (t31 + 1);
    t8 = (t7 + 12U);
    *((unsigned int *)t8) = t31;
    t5 = ieee_p_1242562249_sub_1657552908_1035706684(IEEE_P_1242562249, t2, t16);
    t10 = (t5 + 2);
    t11 = (t10 - 511);
    t31 = (t11 * -1);
    xsi_vhdl_check_range_of_index(511, 0, -1, t10);
    t32 = (8U * t31);
    t33 = (0 + t32);
    t8 = (t3 + t33);
    t9 = (t0 + 3528);
    t15 = (t9 + 56U);
    t24 = *((char **)t15);
    t26 = (t24 + 56U);
    t27 = *((char **)t26);
    memcpy(t27, t8, 8U);
    xsi_driver_first_trans_delta(t9, 8U, 8U, 0LL);
    xsi_set_current_line(80, ng0);
    t2 = (t0 + 1968U);
    t3 = *((char **)t2);
    t2 = (t0 + 1032U);
    t6 = *((char **)t2);
    t12 = (31 - 8);
    t13 = (t12 * 1U);
    t14 = (0 + t13);
    t2 = (t6 + t14);
    t7 = (t16 + 0U);
    t8 = (t7 + 0U);
    *((int *)t8) = 8;
    t8 = (t7 + 4U);
    *((int *)t8) = 0;
    t8 = (t7 + 8U);
    *((int *)t8) = -1;
    t4 = (0 - 8);
    t31 = (t4 * -1);
    t31 = (t31 + 1);
    t8 = (t7 + 12U);
    *((unsigned int *)t8) = t31;
    t5 = ieee_p_1242562249_sub_1657552908_1035706684(IEEE_P_1242562249, t2, t16);
    t10 = (t5 + 3);
    t11 = (t10 - 511);
    t31 = (t11 * -1);
    xsi_vhdl_check_range_of_index(511, 0, -1, t10);
    t32 = (8U * t31);
    t33 = (0 + t32);
    t8 = (t3 + t33);
    t9 = (t0 + 3528);
    t15 = (t9 + 56U);
    t24 = *((char **)t15);
    t26 = (t24 + 56U);
    t27 = *((char **)t26);
    memcpy(t27, t8, 8U);
    xsi_driver_first_trans_delta(t9, 0U, 8U, 0LL);
    xsi_set_current_line(81, ng0);

LAB52:    t2 = (t0 + 3448);
    *((int *)t2) = 1;
    *((char **)t1) = &&LAB53;

LAB1:    return;
LAB5:    xsi_set_current_line(37, ng0);
    t6 = xsi_get_transient_memory(8U);
    memset(t6, 0, 8U);
    t7 = t6;
    memset(t7, (unsigned char)2, 8U);
    t8 = (t0 + 1968U);
    t9 = *((char **)t8);
    t8 = (t0 + 10512);
    t10 = *((int *)t8);
    t11 = (t10 - 511);
    t12 = (t11 * -1);
    xsi_vhdl_check_range_of_index(511, 0, -1, *((int *)t8));
    t13 = (8U * t12);
    t14 = (0 + t13);
    t15 = (t9 + t14);
    memcpy(t15, t6, 8U);

LAB6:    t2 = (t0 + 10512);
    t4 = *((int *)t2);
    t3 = (t0 + 10516);
    t5 = *((int *)t3);
    if (t4 == t5)
        goto LAB7;

LAB8:    t10 = (t4 + 1);
    t4 = t10;
    t6 = (t0 + 10512);
    *((int *)t6) = t4;
    goto LAB4;

LAB10:    xsi_set_current_line(43, ng0);
    t3 = (t0 + 2936);
    t6 = (t0 + 2296U);
    t7 = (t0 + 2472U);
    std_textio_readline(STD_TEXTIO, t3, t6, t7);
    xsi_set_current_line(44, ng0);
    t2 = (t0 + 1848U);
    t3 = *((char **)t2);
    t2 = (t3 + 0);
    *((int *)t2) = 0;
    xsi_set_current_line(46, ng0);
    t2 = (t0 + 10531);
    *((int *)t2) = 1;
    t3 = (t0 + 10535);
    *((int *)t3) = 8;
    t4 = 1;
    t5 = 8;

LAB13:    if (t4 <= t5)
        goto LAB14;

LAB16:    xsi_set_current_line(73, ng0);
    t2 = (t0 + 1728U);
    t3 = *((char **)t2);
    t4 = *((int *)t3);
    t5 = (t4 + 4);
    t2 = (t0 + 1728U);
    t6 = *((char **)t2);
    t2 = (t6 + 0);
    *((int *)t2) = t5;
    goto LAB9;

LAB11:;
LAB14:    xsi_set_current_line(47, ng0);
    t6 = (t0 + 2936);
    t7 = (t0 + 2472U);
    t8 = (t0 + 1488U);
    t9 = *((char **)t8);
    t8 = (t9 + 0);
    std_textio_read8(STD_TEXTIO, t6, t7, t8);
    xsi_set_current_line(48, ng0);
    t2 = (t0 + 1488U);
    t3 = *((char **)t2);
    t18 = *((unsigned char *)t3);
    t19 = ((unsigned char)48 <= t18);
    if (t19 == 1)
        goto LAB20;

LAB21:    t17 = (unsigned char)0;

LAB22:    if (t17 != 0)
        goto LAB17;

LAB19:    t2 = (t0 + 1488U);
    t3 = *((char **)t2);
    t18 = *((unsigned char *)t3);
    t19 = ((unsigned char)97 <= t18);
    if (t19 == 1)
        goto LAB25;

LAB26:    t17 = (unsigned char)0;

LAB27:    if (t17 != 0)
        goto LAB23;

LAB24:    xsi_set_current_line(52, ng0);
    t2 = (t0 + 10539);
    t6 = ((STD_STANDARD) + 384);
    t7 = (t0 + 1728U);
    t8 = *((char **)t7);
    t10 = *((int *)t8);
    t7 = xsi_int_to_mem(t10);
    t9 = xsi_string_variable_get_image(t16, t6, t7);
    t24 = ((STD_STANDARD) + 1008);
    t26 = (t25 + 0U);
    t27 = (t26 + 0U);
    *((int *)t27) = 1;
    t27 = (t26 + 4U);
    *((int *)t27) = 20;
    t27 = (t26 + 8U);
    *((int *)t27) = 1;
    t11 = (20 - 1);
    t12 = (t11 * 1);
    t12 = (t12 + 1);
    t27 = (t26 + 12U);
    *((unsigned int *)t27) = t12;
    t15 = xsi_base_array_concat(t15, t23, t24, (char)97, t2, t25, (char)97, t9, t16, (char)101);
    t27 = (t16 + 12U);
    t12 = *((unsigned int *)t27);
    t13 = (20U + t12);
    xsi_report(t15, t13, (unsigned char)2);

LAB18:    xsi_set_current_line(55, ng0);
    t2 = (t0 + 10531);
    t10 = *((int *)t2);
    t17 = (t10 == 1);
    if (t17 != 0)
        goto LAB28;

LAB30:    t2 = (t0 + 10531);
    t10 = *((int *)t2);
    t17 = (t10 == 2);
    if (t17 != 0)
        goto LAB31;

LAB32:    t2 = (t0 + 10531);
    t10 = *((int *)t2);
    t17 = (t10 == 3);
    if (t17 != 0)
        goto LAB33;

LAB34:    t2 = (t0 + 10531);
    t10 = *((int *)t2);
    t17 = (t10 == 4);
    if (t17 != 0)
        goto LAB35;

LAB36:    t2 = (t0 + 10531);
    t10 = *((int *)t2);
    t17 = (t10 == 5);
    if (t17 != 0)
        goto LAB37;

LAB38:    t2 = (t0 + 10531);
    t10 = *((int *)t2);
    t17 = (t10 == 6);
    if (t17 != 0)
        goto LAB39;

LAB40:    t2 = (t0 + 10531);
    t10 = *((int *)t2);
    t17 = (t10 == 7);
    if (t17 != 0)
        goto LAB41;

LAB42:    t2 = (t0 + 10531);
    t10 = *((int *)t2);
    t17 = (t10 == 8);
    if (t17 != 0)
        goto LAB43;

LAB44:
LAB29:
LAB15:    t2 = (t0 + 10531);
    t4 = *((int *)t2);
    t3 = (t0 + 10535);
    t5 = *((int *)t3);
    if (t4 == t5)
        goto LAB16;

LAB45:    t10 = (t4 + 1);
    t4 = t10;
    t6 = (t0 + 10531);
    *((int *)t6) = t4;
    goto LAB13;

LAB17:    xsi_set_current_line(49, ng0);
    t2 = (t0 + 1488U);
    t7 = *((char **)t2);
    t22 = *((unsigned char *)t7);
    t10 = ((((int)(t22))) - (((int)((unsigned char)48))));
    t2 = (t0 + 1848U);
    t8 = *((char **)t2);
    t2 = (t8 + 0);
    *((int *)t2) = t10;
    goto LAB18;

LAB20:    t2 = (t0 + 1488U);
    t6 = *((char **)t2);
    t20 = *((unsigned char *)t6);
    t21 = (t20 <= (unsigned char)57);
    t17 = t21;
    goto LAB22;

LAB23:    xsi_set_current_line(51, ng0);
    t2 = (t0 + 1488U);
    t7 = *((char **)t2);
    t22 = *((unsigned char *)t7);
    t10 = ((((int)(t22))) - (((int)((unsigned char)97))));
    t11 = (t10 + 10);
    t2 = (t0 + 1848U);
    t8 = *((char **)t2);
    t2 = (t8 + 0);
    *((int *)t2) = t11;
    goto LAB18;

LAB25:    t2 = (t0 + 1488U);
    t6 = *((char **)t2);
    t20 = *((unsigned char *)t6);
    t21 = (t20 <= (unsigned char)102);
    t17 = t21;
    goto LAB27;

LAB28:    xsi_set_current_line(56, ng0);
    t3 = (t0 + 1848U);
    t6 = *((char **)t3);
    t11 = *((int *)t6);
    t3 = ieee_p_1242562249_sub_180853171_1035706684(IEEE_P_1242562249, t16, t11, 4);
    t7 = (t0 + 1968U);
    t8 = *((char **)t7);
    t12 = (7 - 7);
    t13 = (t12 * 1U);
    t7 = (t0 + 1728U);
    t9 = *((char **)t7);
    t28 = *((int *)t9);
    t29 = (t28 + 3);
    t30 = (t29 - 511);
    t14 = (t30 * -1);
    xsi_vhdl_check_range_of_index(511, 0, -1, t29);
    t31 = (8U * t14);
    t32 = (0 + t31);
    t33 = (t32 + t13);
    t7 = (t8 + t33);
    t15 = (t16 + 12U);
    t34 = *((unsigned int *)t15);
    t34 = (t34 * 1U);
    memcpy(t7, t3, t34);
    goto LAB29;

LAB31:    xsi_set_current_line(58, ng0);
    t3 = (t0 + 1848U);
    t6 = *((char **)t3);
    t11 = *((int *)t6);
    t3 = ieee_p_1242562249_sub_180853171_1035706684(IEEE_P_1242562249, t16, t11, 4);
    t7 = (t0 + 1968U);
    t8 = *((char **)t7);
    t12 = (7 - 3);
    t13 = (t12 * 1U);
    t7 = (t0 + 1728U);
    t9 = *((char **)t7);
    t28 = *((int *)t9);
    t29 = (t28 + 3);
    t30 = (t29 - 511);
    t14 = (t30 * -1);
    xsi_vhdl_check_range_of_index(511, 0, -1, t29);
    t31 = (8U * t14);
    t32 = (0 + t31);
    t33 = (t32 + t13);
    t7 = (t8 + t33);
    t15 = (t16 + 12U);
    t34 = *((unsigned int *)t15);
    t34 = (t34 * 1U);
    memcpy(t7, t3, t34);
    goto LAB29;

LAB33:    xsi_set_current_line(60, ng0);
    t3 = (t0 + 1848U);
    t6 = *((char **)t3);
    t11 = *((int *)t6);
    t3 = ieee_p_1242562249_sub_180853171_1035706684(IEEE_P_1242562249, t16, t11, 4);
    t7 = (t0 + 1968U);
    t8 = *((char **)t7);
    t12 = (7 - 7);
    t13 = (t12 * 1U);
    t7 = (t0 + 1728U);
    t9 = *((char **)t7);
    t28 = *((int *)t9);
    t29 = (t28 + 2);
    t30 = (t29 - 511);
    t14 = (t30 * -1);
    xsi_vhdl_check_range_of_index(511, 0, -1, t29);
    t31 = (8U * t14);
    t32 = (0 + t31);
    t33 = (t32 + t13);
    t7 = (t8 + t33);
    t15 = (t16 + 12U);
    t34 = *((unsigned int *)t15);
    t34 = (t34 * 1U);
    memcpy(t7, t3, t34);
    goto LAB29;

LAB35:    xsi_set_current_line(62, ng0);
    t3 = (t0 + 1848U);
    t6 = *((char **)t3);
    t11 = *((int *)t6);
    t3 = ieee_p_1242562249_sub_180853171_1035706684(IEEE_P_1242562249, t16, t11, 4);
    t7 = (t0 + 1968U);
    t8 = *((char **)t7);
    t12 = (7 - 3);
    t13 = (t12 * 1U);
    t7 = (t0 + 1728U);
    t9 = *((char **)t7);
    t28 = *((int *)t9);
    t29 = (t28 + 2);
    t30 = (t29 - 511);
    t14 = (t30 * -1);
    xsi_vhdl_check_range_of_index(511, 0, -1, t29);
    t31 = (8U * t14);
    t32 = (0 + t31);
    t33 = (t32 + t13);
    t7 = (t8 + t33);
    t15 = (t16 + 12U);
    t34 = *((unsigned int *)t15);
    t34 = (t34 * 1U);
    memcpy(t7, t3, t34);
    goto LAB29;

LAB37:    xsi_set_current_line(64, ng0);
    t3 = (t0 + 1848U);
    t6 = *((char **)t3);
    t11 = *((int *)t6);
    t3 = ieee_p_1242562249_sub_180853171_1035706684(IEEE_P_1242562249, t16, t11, 4);
    t7 = (t0 + 1968U);
    t8 = *((char **)t7);
    t12 = (7 - 7);
    t13 = (t12 * 1U);
    t7 = (t0 + 1728U);
    t9 = *((char **)t7);
    t28 = *((int *)t9);
    t29 = (t28 + 1);
    t30 = (t29 - 511);
    t14 = (t30 * -1);
    xsi_vhdl_check_range_of_index(511, 0, -1, t29);
    t31 = (8U * t14);
    t32 = (0 + t31);
    t33 = (t32 + t13);
    t7 = (t8 + t33);
    t15 = (t16 + 12U);
    t34 = *((unsigned int *)t15);
    t34 = (t34 * 1U);
    memcpy(t7, t3, t34);
    goto LAB29;

LAB39:    xsi_set_current_line(66, ng0);
    t3 = (t0 + 1848U);
    t6 = *((char **)t3);
    t11 = *((int *)t6);
    t3 = ieee_p_1242562249_sub_180853171_1035706684(IEEE_P_1242562249, t16, t11, 4);
    t7 = (t0 + 1968U);
    t8 = *((char **)t7);
    t12 = (7 - 3);
    t13 = (t12 * 1U);
    t7 = (t0 + 1728U);
    t9 = *((char **)t7);
    t28 = *((int *)t9);
    t29 = (t28 + 1);
    t30 = (t29 - 511);
    t14 = (t30 * -1);
    xsi_vhdl_check_range_of_index(511, 0, -1, t29);
    t31 = (8U * t14);
    t32 = (0 + t31);
    t33 = (t32 + t13);
    t7 = (t8 + t33);
    t15 = (t16 + 12U);
    t34 = *((unsigned int *)t15);
    t34 = (t34 * 1U);
    memcpy(t7, t3, t34);
    goto LAB29;

LAB41:    xsi_set_current_line(68, ng0);
    t3 = (t0 + 1848U);
    t6 = *((char **)t3);
    t11 = *((int *)t6);
    t3 = ieee_p_1242562249_sub_180853171_1035706684(IEEE_P_1242562249, t16, t11, 4);
    t7 = (t0 + 1968U);
    t8 = *((char **)t7);
    t12 = (7 - 7);
    t13 = (t12 * 1U);
    t7 = (t0 + 1728U);
    t9 = *((char **)t7);
    t28 = *((int *)t9);
    t29 = (t28 - 511);
    t14 = (t29 * -1);
    xsi_vhdl_check_range_of_index(511, 0, -1, t28);
    t31 = (8U * t14);
    t32 = (0 + t31);
    t33 = (t32 + t13);
    t7 = (t8 + t33);
    t15 = (t16 + 12U);
    t34 = *((unsigned int *)t15);
    t34 = (t34 * 1U);
    memcpy(t7, t3, t34);
    goto LAB29;

LAB43:    xsi_set_current_line(70, ng0);
    t3 = (t0 + 1848U);
    t6 = *((char **)t3);
    t11 = *((int *)t6);
    t3 = ieee_p_1242562249_sub_180853171_1035706684(IEEE_P_1242562249, t16, t11, 4);
    t7 = (t0 + 1968U);
    t8 = *((char **)t7);
    t12 = (7 - 3);
    t13 = (t12 * 1U);
    t7 = (t0 + 1728U);
    t9 = *((char **)t7);
    t28 = *((int *)t9);
    t29 = (t28 - 511);
    t14 = (t29 * -1);
    xsi_vhdl_check_range_of_index(511, 0, -1, t28);
    t31 = (8U * t14);
    t32 = (0 + t31);
    t33 = (t32 + t13);
    t7 = (t8 + t33);
    t15 = (t16 + 12U);
    t34 = *((unsigned int *)t15);
    t34 = (t34 * 1U);
    memcpy(t7, t3, t34);
    goto LAB29;

LAB48:;
LAB49:    goto LAB2;

LAB50:    t3 = (t0 + 3448);
    *((int *)t3) = 0;
    goto LAB46;

LAB51:    goto LAB50;

LAB53:    goto LAB51;

}
static void work_a_0123541133_3212880686_p_0(char *t0)
{
    unsigned char t1;
    unsigned char t2;
    unsigned char t3;
    char *t4;
    unsigned char t5;
    char *t6;
    char *t7;
    unsigned char t8;
    unsigned char t9;
    char *t10;
    unsigned char t11;
    char *t12;
    char *t13;
    unsigned char t14;
    char *t15;
    char *t16;
    char *t17;
    int t18;
    int t19;
    unsigned int t20;
    unsigned int t21;
    unsigned int t22;
    char *t23;
    char *t24;
    char *t25;
    char *t26;
    char *t27;
    char *t28;

LAB0:    xsi_set_current_line(51, ng0);
    t4 = (t0 + 992U);
    t5 = xsi_signal_has_event(t4);
    if (t5 == 1)
        goto LAB11;

LAB12:    t3 = (unsigned char)0;

LAB13:    if (t3 == 1)
        goto LAB8;

LAB9:    t2 = (unsigned char)0;

LAB10:    if (t2 == 1)
        goto LAB5;

LAB6:    t1 = (unsigned char)0;

LAB7:    if (t1 != 0)
        goto LAB2;

LAB4:
LAB3:    xsi_set_current_line(54, ng0);
    t4 = (t0 + 992U);
    t5 = xsi_signal_has_event(t4);
    if (t5 == 1)
        goto LAB23;

LAB24:    t3 = (unsigned char)0;

LAB25:    if (t3 == 1)
        goto LAB20;

LAB21:    t2 = (unsigned char)0;

LAB22:    if (t2 == 1)
        goto LAB17;

LAB18:    t1 = (unsigned char)0;

LAB19:    if (t1 != 0)
        goto LAB14;

LAB16:
LAB15:    xsi_set_current_line(57, ng0);
    t4 = (t0 + 992U);
    t5 = xsi_signal_has_event(t4);
    if (t5 == 1)
        goto LAB35;

LAB36:    t3 = (unsigned char)0;

LAB37:    if (t3 == 1)
        goto LAB32;

LAB33:    t2 = (unsigned char)0;

LAB34:    if (t2 == 1)
        goto LAB29;

LAB30:    t1 = (unsigned char)0;

LAB31:    if (t1 != 0)
        goto LAB26;

LAB28:
LAB27:    t4 = (t0 + 3632);
    *((int *)t4) = 1;

LAB1:    return;
LAB2:    xsi_set_current_line(52, ng0);
    t15 = (t0 + 1672U);
    t16 = *((char **)t15);
    t15 = (t0 + 1512U);
    t17 = *((char **)t15);
    t15 = (t0 + 5996U);
    t18 = ieee_p_1242562249_sub_1657552908_1035706684(IEEE_P_1242562249, t17, t15);
    t19 = (t18 - 127);
    t20 = (t19 * -1);
    t21 = (32U * t20);
    t22 = (0U + t21);
    t23 = (t0 + 3712);
    t24 = (t23 + 56U);
    t25 = *((char **)t24);
    t26 = (t25 + 56U);
    t27 = *((char **)t26);
    memcpy(t27, t16, 32U);
    xsi_driver_first_trans_delta(t23, t22, 32U, 0LL);
    goto LAB3;

LAB5:    t12 = (t0 + 1352U);
    t13 = *((char **)t12);
    t12 = (t0 + 5980U);
    t14 = ieee_p_1242562249_sub_1781507893_1035706684(IEEE_P_1242562249, t13, t12, 1);
    t1 = t14;
    goto LAB7;

LAB8:    t6 = (t0 + 1192U);
    t10 = *((char **)t6);
    t6 = (t0 + 5964U);
    t11 = ieee_p_1242562249_sub_1781507893_1035706684(IEEE_P_1242562249, t10, t6, 0);
    t2 = t11;
    goto LAB10;

LAB11:    t6 = (t0 + 1032U);
    t7 = *((char **)t6);
    t8 = *((unsigned char *)t7);
    t9 = (t8 == (unsigned char)3);
    t3 = t9;
    goto LAB13;

LAB14:    xsi_set_current_line(55, ng0);
    t15 = (t0 + 1992U);
    t16 = *((char **)t15);
    t15 = (t0 + 1512U);
    t17 = *((char **)t15);
    t15 = (t0 + 5996U);
    t18 = ieee_p_1242562249_sub_1657552908_1035706684(IEEE_P_1242562249, t17, t15);
    t19 = (t18 - 127);
    t20 = (t19 * -1);
    xsi_vhdl_check_range_of_index(127, 0, -1, t18);
    t21 = (32U * t20);
    t22 = (0 + t21);
    t23 = (t16 + t22);
    t24 = (t0 + 3776);
    t25 = (t24 + 56U);
    t26 = *((char **)t25);
    t27 = (t26 + 56U);
    t28 = *((char **)t27);
    memcpy(t28, t23, 32U);
    xsi_driver_first_trans_fast_port(t24);
    goto LAB15;

LAB17:    t12 = (t0 + 1352U);
    t13 = *((char **)t12);
    t12 = (t0 + 5980U);
    t14 = ieee_p_1242562249_sub_1781507893_1035706684(IEEE_P_1242562249, t13, t12, 0);
    t1 = t14;
    goto LAB19;

LAB20:    t6 = (t0 + 1192U);
    t10 = *((char **)t6);
    t6 = (t0 + 5964U);
    t11 = ieee_p_1242562249_sub_1781507893_1035706684(IEEE_P_1242562249, t10, t6, 1);
    t2 = t11;
    goto LAB22;

LAB23:    t6 = (t0 + 1032U);
    t7 = *((char **)t6);
    t8 = *((unsigned char *)t7);
    t9 = (t8 == (unsigned char)3);
    t3 = t9;
    goto LAB25;

LAB26:    xsi_set_current_line(58, ng0);
    t15 = (t0 + 1672U);
    t16 = *((char **)t15);
    t15 = (t0 + 1512U);
    t17 = *((char **)t15);
    t15 = (t0 + 5996U);
    t18 = ieee_p_1242562249_sub_1657552908_1035706684(IEEE_P_1242562249, t17, t15);
    t19 = (t18 - 127);
    t20 = (t19 * -1);
    t21 = (32U * t20);
    t22 = (0U + t21);
    t23 = (t0 + 3712);
    t24 = (t23 + 56U);
    t25 = *((char **)t24);
    t26 = (t25 + 56U);
    t27 = *((char **)t26);
    memcpy(t27, t16, 32U);
    xsi_driver_first_trans_delta(t23, t22, 32U, 0LL);
    xsi_set_current_line(59, ng0);
    t4 = (t0 + 1992U);
    t6 = *((char **)t4);
    t4 = (t0 + 1512U);
    t7 = *((char **)t4);
    t4 = (t0 + 5996U);
    t18 = ieee_p_1242562249_sub_1657552908_1035706684(IEEE_P_1242562249, t7, t4);
    t19 = (t18 - 127);
    t20 = (t19 * -1);
    xsi_vhdl_check_range_of_index(127, 0, -1, t18);
    t21 = (32U * t20);
    t22 = (0 + t21);
    t10 = (t6 + t22);
    t12 = (t0 + 3776);
    t13 = (t12 + 56U);
    t15 = *((char **)t13);
    t16 = (t15 + 56U);
    t17 = *((char **)t16);
    memcpy(t17, t10, 32U);
    xsi_driver_first_trans_fast_port(t12);
    goto LAB27;

LAB29:    t12 = (t0 + 1352U);
    t13 = *((char **)t12);
    t12 = (t0 + 5980U);
    t14 = ieee_p_1242562249_sub_1781507893_1035706684(IEEE_P_1242562249, t13, t12, 1);
    t1 = t14;
    goto LAB31;

LAB32:    t6 = (t0 + 1192U);
    t10 = *((char **)t6);
    t6 = (t0 + 5964U);
    t11 = ieee_p_1242562249_sub_1781507893_1035706684(IEEE_P_1242562249, t10, t6, 1);
    t2 = t11;
    goto LAB34;

LAB35:    t6 = (t0 + 1032U);
    t7 = *((char **)t6);
    t8 = *((unsigned char *)t7);
    t9 = (t8 == (unsigned char)3);
    t3 = t9;
    goto LAB37;

}
예제 #22
0
static void work_a_0219848785_1446275585_p_0(char *t0)
{
    unsigned char t1;
    char *t2;
    unsigned char t3;
    char *t4;
    char *t5;
    unsigned char t6;
    unsigned char t7;
    char *t8;
    unsigned char t9;
    unsigned char t10;
    char *t11;
    char *t12;
    int t13;
    int t14;
    unsigned int t15;
    unsigned int t16;
    unsigned int t17;
    char *t18;
    char *t19;
    char *t20;
    char *t21;
    char *t22;

LAB0:    xsi_set_current_line(331, ng0);
    t2 = (t0 + 568U);
    t3 = xsi_signal_has_event(t2);
    if (t3 == 1)
        goto LAB5;

LAB6:    t1 = (unsigned char)0;

LAB7:    if (t1 != 0)
        goto LAB2;

LAB4:
LAB3:    t2 = (t0 + 2372);
    *((int *)t2) = 1;

LAB1:    return;
LAB2:    xsi_set_current_line(332, ng0);
    t4 = (t0 + 868U);
    t8 = *((char **)t4);
    t9 = *((unsigned char *)t8);
    t10 = (t9 == (unsigned char)3);
    if (t10 != 0)
        goto LAB8;

LAB10:
LAB9:    xsi_set_current_line(335, ng0);
    t2 = (t0 + 684U);
    t4 = *((char **)t2);
    t2 = (t0 + 2460);
    t5 = (t2 + 32U);
    t8 = *((char **)t5);
    t11 = (t8 + 40U);
    t12 = *((char **)t11);
    memcpy(t12, t4, 12U);
    xsi_driver_first_trans_fast(t2);
    goto LAB3;

LAB5:    t4 = (t0 + 592U);
    t5 = *((char **)t4);
    t6 = *((unsigned char *)t5);
    t7 = (t6 == (unsigned char)3);
    t1 = t7;
    goto LAB7;

LAB8:    xsi_set_current_line(333, ng0);
    t4 = (t0 + 960U);
    t11 = *((char **)t4);
    t4 = (t0 + 776U);
    t12 = *((char **)t4);
    t4 = (t0 + 4560U);
    t13 = ieee_p_1242562249_sub_1657552908_1035706684(IEEE_P_1242562249, t12, t4);
    t14 = (t13 - 0);
    t15 = (t14 * 1);
    t16 = (8U * t15);
    t17 = (0U + t16);
    t18 = (t0 + 2424);
    t19 = (t18 + 32U);
    t20 = *((char **)t19);
    t21 = (t20 + 40U);
    t22 = *((char **)t21);
    memcpy(t22, t11, 8U);
    xsi_driver_first_trans_delta(t18, t17, 8U, 0LL);
    goto LAB9;

}
static void work_a_0080914410_1516540902_p_0(char *t0)
{
    unsigned char t1;
    char *t2;
    unsigned char t3;
    char *t4;
    char *t5;
    unsigned char t6;
    unsigned char t7;
    char *t8;
    unsigned char t9;
    unsigned char t10;
    char *t11;
    char *t12;
    int t13;
    int t14;
    unsigned int t15;
    unsigned int t16;
    unsigned int t17;
    char *t18;
    char *t19;
    char *t20;
    char *t21;
    char *t22;

LAB0:    xsi_set_current_line(26, ng0);
    t2 = (t0 + 1472U);
    t3 = xsi_signal_has_event(t2);
    if (t3 == 1)
        goto LAB5;

LAB6:    t1 = (unsigned char)0;

LAB7:    if (t1 != 0)
        goto LAB2;

LAB4:
LAB3:    t2 = (t0 + 3880);
    *((int *)t2) = 1;

LAB1:    return;
LAB2:    xsi_set_current_line(27, ng0);
    t4 = (t0 + 1352U);
    t8 = *((char **)t4);
    t9 = *((unsigned char *)t8);
    t10 = (t9 == (unsigned char)3);
    if (t10 != 0)
        goto LAB8;

LAB10:
LAB9:    goto LAB3;

LAB5:    t4 = (t0 + 1512U);
    t5 = *((char **)t4);
    t6 = *((unsigned char *)t5);
    t7 = (t6 == (unsigned char)3);
    t1 = t7;
    goto LAB7;

LAB8:    xsi_set_current_line(28, ng0);
    t4 = (t0 + 1032U);
    t11 = *((char **)t4);
    t4 = (t0 + 1192U);
    t12 = *((char **)t4);
    t4 = (t0 + 6396U);
    t13 = ieee_p_1242562249_sub_1657552908_1035706684(IEEE_P_1242562249, t12, t4);
    t14 = (t13 - 255);
    t15 = (t14 * -1);
    t16 = (8U * t15);
    t17 = (0U + t16);
    t18 = (t0 + 3976);
    t19 = (t18 + 56U);
    t20 = *((char **)t19);
    t21 = (t20 + 56U);
    t22 = *((char **)t21);
    memcpy(t22, t11, 8U);
    xsi_driver_first_trans_delta(t18, t17, 8U, 0LL);
    goto LAB9;

}
예제 #24
0
static void work_a_0658286079_0521664330_p_0(char *t0)
{
    char t12[16];
    char *t1;
    unsigned char t2;
    char *t3;
    char *t4;
    unsigned char t5;
    unsigned char t6;
    char *t7;
    char *t8;
    unsigned int t9;
    unsigned int t10;
    unsigned int t11;
    char *t13;
    char *t14;
    int t15;
    unsigned int t16;
    int t17;
    int t18;
    unsigned int t19;
    unsigned int t20;
    char *t21;
    char *t22;
    char *t23;
    char *t24;

LAB0:    xsi_set_current_line(36, ng0);
    t1 = (t0 + 568U);
    t2 = ieee_p_2592010699_sub_1744673427_503743352(IEEE_P_2592010699, t1, 0U, 0U);
    if (t2 != 0)
        goto LAB2;

LAB4:
LAB3:    t1 = (t0 + 2372);
    *((int *)t1) = 1;

LAB1:    return;
LAB2:    xsi_set_current_line(38, ng0);
    t3 = (t0 + 684U);
    t4 = *((char **)t3);
    t5 = *((unsigned char *)t4);
    t6 = (t5 == (unsigned char)3);
    if (t6 != 0)
        goto LAB5;

LAB7:
LAB6:    goto LAB3;

LAB5:    xsi_set_current_line(39, ng0);
    t3 = (t0 + 1052U);
    t7 = *((char **)t3);
    t3 = (t0 + 868U);
    t8 = *((char **)t3);
    t9 = (31 - 31);
    t10 = (t9 * 1U);
    t11 = (0 + t10);
    t3 = (t8 + t11);
    t13 = (t12 + 0U);
    t14 = (t13 + 0U);
    *((int *)t14) = 31;
    t14 = (t13 + 4U);
    *((int *)t14) = 2;
    t14 = (t13 + 8U);
    *((int *)t14) = -1;
    t15 = (2 - 31);
    t16 = (t15 * -1);
    t16 = (t16 + 1);
    t14 = (t13 + 12U);
    *((unsigned int *)t14) = t16;
    t17 = ieee_p_1242562249_sub_1657552908_1035706684(IEEE_P_1242562249, t3, t12);
    t18 = (t17 - 255);
    t16 = (t18 * -1);
    t19 = (32U * t16);
    t20 = (0U + t19);
    t14 = (t0 + 2424);
    t21 = (t14 + 32U);
    t22 = *((char **)t21);
    t23 = (t22 + 40U);
    t24 = *((char **)t23);
    memcpy(t24, t7, 32U);
    xsi_driver_first_trans_delta(t14, t20, 32U, 0LL);
    goto LAB6;

}
예제 #25
0
static void work_a_4163910571_0412081955_p_0(char *t0)
{
    char *t1;
    unsigned char t2;
    char *t3;
    char *t4;
    unsigned char t5;
    unsigned char t6;
    char *t7;
    char *t8;
    int t9;
    int t10;
    unsigned int t11;
    unsigned int t12;
    unsigned int t13;
    char *t14;
    char *t15;
    char *t16;
    char *t17;
    char *t18;

LAB0:    xsi_set_current_line(27, ng0);
    t1 = (t0 + 568U);
    t2 = ieee_p_2592010699_sub_1744673427_503743352(IEEE_P_2592010699, t1, 0U, 0U);
    if (t2 != 0)
        goto LAB2;

LAB4:
LAB3:    t1 = (t0 + 1908);
    *((int *)t1) = 1;

LAB1:    return;
LAB2:    xsi_set_current_line(28, ng0);
    t3 = (t0 + 684U);
    t4 = *((char **)t3);
    t5 = *((unsigned char *)t4);
    t6 = (t5 == (unsigned char)3);
    if (t6 != 0)
        goto LAB5;

LAB7:    xsi_set_current_line(31, ng0);
    t1 = (t0 + 1052U);
    t3 = *((char **)t1);
    t1 = (t0 + 776U);
    t4 = *((char **)t1);
    t1 = (t0 + 3716U);
    t9 = ieee_p_1242562249_sub_1657552908_1035706684(IEEE_P_1242562249, t4, t1);
    t10 = (t9 - 0);
    t11 = (t10 * 1);
    xsi_vhdl_check_range_of_index(0, 31, 1, t9);
    t12 = (16U * t11);
    t13 = (0 + t12);
    t7 = (t3 + t13);
    t8 = (t0 + 1988);
    t14 = (t8 + 32U);
    t15 = *((char **)t14);
    t16 = (t15 + 40U);
    t17 = *((char **)t16);
    memcpy(t17, t7, 16U);
    xsi_driver_first_trans_fast_port(t8);

LAB6:    goto LAB3;

LAB5:    xsi_set_current_line(29, ng0);
    t3 = (t0 + 868U);
    t7 = *((char **)t3);
    t3 = (t0 + 776U);
    t8 = *((char **)t3);
    t3 = (t0 + 3716U);
    t9 = ieee_p_1242562249_sub_1657552908_1035706684(IEEE_P_1242562249, t8, t3);
    t10 = (t9 - 0);
    t11 = (t10 * 1);
    t12 = (16U * t11);
    t13 = (0U + t12);
    t14 = (t0 + 1952);
    t15 = (t14 + 32U);
    t16 = *((char **)t15);
    t17 = (t16 + 40U);
    t18 = *((char **)t17);
    memcpy(t18, t7, 16U);
    xsi_driver_first_trans_delta(t14, t13, 16U, 0LL);
    goto LAB6;

}
static void work_a_1867478945_2372691052_p_1(char *t0)
{
    char t14[16];
    char t18[16];
    char t20[16];
    char *t1;
    char *t2;
    char *t3;
    char *t4;
    char *t5;
    char *t6;
    unsigned char t7;
    unsigned char t8;
    unsigned char t9;
    unsigned char t10;
    unsigned char t11;
    char *t12;
    char *t13;
    unsigned int t15;
    unsigned int t16;
    unsigned int t17;
    char *t19;
    char *t21;
    char *t22;
    int t23;
    unsigned int t24;
    int t25;
    char *t26;
    int t27;
    int64 t28;
    int64 t29;

LAB0:    t1 = (t0 + 2552U);
    t2 = *((char **)t1);
    if (t2 == 0)
        goto LAB2;

LAB3:    goto *t2;

LAB2:    xsi_set_current_line(73, ng0);
    t2 = (t0 + 2820);
    t3 = (t2 + 32U);
    t4 = *((char **)t3);
    t5 = (t4 + 40U);
    t6 = *((char **)t5);
    *((unsigned char *)t6) = (unsigned char)2;
    xsi_driver_first_trans_fast(t2);
    xsi_set_current_line(74, ng0);
    t2 = (t0 + 1476U);
    t3 = *((char **)t2);
    t2 = (t3 + 0);
    *((unsigned char *)t2) = (unsigned char)1;
    t4 = (t0 + 1440U);
    xsi_variable_act(t4);
    xsi_set_current_line(75, ng0);
    t2 = (t0 + 592U);
    t3 = *((char **)t2);
    t8 = *((unsigned char *)t3);
    t9 = (t8 == (unsigned char)2);
    if (t9 == 1)
        goto LAB7;

LAB8:    t7 = (unsigned char)0;

LAB9:    if (t7 != 0)
        goto LAB4;

LAB6:
LAB5:    xsi_set_current_line(109, ng0);
    t2 = (t0 + 1476U);
    t3 = *((char **)t2);
    t2 = (t3 + 0);
    *((unsigned char *)t2) = (unsigned char)0;
    t4 = (t0 + 1440U);
    xsi_variable_act(t4);
    xsi_set_current_line(110, ng0);
    t2 = (t0 + 2856);
    t3 = (t2 + 32U);
    t4 = *((char **)t3);
    t5 = (t4 + 40U);
    t6 = *((char **)t5);
    *((unsigned char *)t6) = (unsigned char)2;
    xsi_driver_first_trans_fast(t2);
    xsi_set_current_line(111, ng0);
    t2 = (t0 + 2820);
    t3 = (t2 + 32U);
    t4 = *((char **)t3);
    t5 = (t4 + 40U);
    t6 = *((char **)t5);
    *((unsigned char *)t6) = (unsigned char)3;
    xsi_driver_first_trans_fast(t2);
    xsi_set_current_line(112, ng0);
    t2 = (t0 + 1408U);
    t3 = *((char **)t2);
    t28 = *((int64 *)t3);
    t29 = (110 * t28);
    t2 = (t0 + 2452);
    xsi_process_wait(t2, t29);

LAB30:    *((char **)t1) = &&LAB31;

LAB1:    return;
LAB4:    xsi_set_current_line(77, ng0);
    t2 = (t0 + 2856);
    t5 = (t2 + 32U);
    t6 = *((char **)t5);
    t12 = (t6 + 40U);
    t13 = *((char **)t12);
    *((unsigned char *)t13) = (unsigned char)3;
    xsi_driver_first_trans_fast(t2);
    xsi_set_current_line(78, ng0);
    t2 = (t0 + 2452);
    t3 = (t0 + 1936U);
    t4 = (t0 + 2040U);
    std_textio_readline(STD_TEXTIO, t2, t3, t4);
    xsi_set_current_line(80, ng0);

LAB10:    t2 = (t0 + 1936U);
    t7 = std_textio_endfile(t2);
    t8 = (!(t7));
    if (t8 != 0)
        goto LAB11;

LAB13:    goto LAB5;

LAB7:    t2 = (t0 + 1476U);
    t4 = *((char **)t2);
    t10 = *((unsigned char *)t4);
    t11 = (t10 == (unsigned char)1);
    t7 = t11;
    goto LAB9;

LAB11:    xsi_set_current_line(81, ng0);
    t3 = (t0 + 2452);
    t4 = (t0 + 1936U);
    t5 = (t0 + 2040U);
    std_textio_readline(STD_TEXTIO, t3, t4, t5);
    xsi_set_current_line(82, ng0);
    t2 = (t0 + 2452);
    t3 = (t0 + 2040U);
    t4 = (t0 + 1544U);
    t5 = *((char **)t4);
    t4 = (t0 + 5888U);
    ieee_p_3564397177_sub_3988856810_91900896(IEEE_P_3564397177, t2, t3, t5, t4);
    xsi_set_current_line(83, ng0);
    t2 = (t0 + 2452);
    t3 = (t0 + 2040U);
    t4 = (t0 + 1612U);
    t5 = *((char **)t4);
    t4 = (t0 + 5904U);
    ieee_p_3564397177_sub_3988856810_91900896(IEEE_P_3564397177, t2, t3, t5, t4);
    xsi_set_current_line(84, ng0);
    t2 = (t0 + 2452);
    t3 = (t0 + 2040U);
    t4 = (t0 + 1544U);
    t5 = *((char **)t4);
    t4 = (t0 + 5888U);
    ieee_p_3564397177_sub_3988856810_91900896(IEEE_P_3564397177, t2, t3, t5, t4);
    xsi_set_current_line(85, ng0);
    t2 = (t0 + 1612U);
    t3 = *((char **)t2);
    t4 = ((IEEE_P_2592010699) + 2332);
    t5 = (t0 + 5904U);
    t2 = xsi_base_array_concat(t2, t14, t4, (char)99, (unsigned char)2, (char)97, t3, t5, (char)101);
    t6 = (t0 + 1544U);
    t12 = *((char **)t6);
    t15 = (3 - 3);
    t16 = (t15 * 1U);
    t17 = (0 + t16);
    t6 = (t12 + t17);
    t19 = ((IEEE_P_2592010699) + 2332);
    t21 = (t20 + 0U);
    t22 = (t21 + 0U);
    *((int *)t22) = 3;
    t22 = (t21 + 4U);
    *((int *)t22) = 1;
    t22 = (t21 + 8U);
    *((int *)t22) = -1;
    t23 = (1 - 3);
    t24 = (t23 * -1);
    t24 = (t24 + 1);
    t22 = (t21 + 12U);
    *((unsigned int *)t22) = t24;
    t13 = xsi_base_array_concat(t13, t18, t19, (char)97, t2, t14, (char)97, t6, t20, (char)101);
    t25 = ieee_p_1242562249_sub_1657552908_1035706684(IEEE_P_1242562249, t13, t18);
    t22 = (t0 + 1680U);
    t26 = *((char **)t22);
    t22 = (t26 + 0);
    *((int *)t22) = t25;
    xsi_set_current_line(86, ng0);
    t2 = (t0 + 6088);
    *((int *)t2) = 0;
    t3 = (t0 + 6092);
    *((int *)t3) = 5;
    t23 = 0;
    t25 = 5;

LAB14:    if (t23 <= t25)
        goto LAB15;

LAB17:    xsi_set_current_line(90, ng0);
    t2 = (t0 + 1680U);
    t3 = *((char **)t2);
    t23 = *((int *)t3);
    t2 = (t0 + 6096);
    *((int *)t2) = 1;
    t4 = (t0 + 6100);
    *((int *)t4) = t23;
    t25 = 1;
    t27 = t23;

LAB19:    if (t25 <= t27)
        goto LAB20;

LAB22:    goto LAB10;

LAB12:;
LAB15:    xsi_set_current_line(87, ng0);
    t4 = (t0 + 2452);
    t5 = (t0 + 2040U);
    t6 = (t0 + 1544U);
    t12 = *((char **)t6);
    t6 = (t0 + 5888U);
    ieee_p_3564397177_sub_3988856810_91900896(IEEE_P_3564397177, t4, t5, t12, t6);

LAB16:    t2 = (t0 + 6088);
    t23 = *((int *)t2);
    t3 = (t0 + 6092);
    t25 = *((int *)t3);
    if (t23 == t25)
        goto LAB17;

LAB18:    t27 = (t23 + 1);
    t23 = t27;
    t4 = (t0 + 6088);
    *((int *)t4) = t23;
    goto LAB14;

LAB20:    xsi_set_current_line(91, ng0);
    t5 = (t0 + 2452);
    t6 = (t0 + 2040U);
    t12 = (t0 + 1612U);
    t13 = *((char **)t12);
    t12 = (t0 + 5904U);
    ieee_p_3564397177_sub_3988856810_91900896(IEEE_P_3564397177, t5, t6, t13, t12);
    xsi_set_current_line(92, ng0);
    t2 = (t0 + 2452);
    t3 = (t0 + 2040U);
    t4 = (t0 + 1544U);
    t5 = *((char **)t4);
    t4 = (t0 + 5888U);
    ieee_p_3564397177_sub_3988856810_91900896(IEEE_P_3564397177, t2, t3, t5, t4);
    xsi_set_current_line(93, ng0);
    t2 = (t0 + 1612U);
    t3 = *((char **)t2);
    t2 = (t0 + 1544U);
    t4 = *((char **)t2);
    t5 = ((IEEE_P_2592010699) + 2332);
    t6 = (t0 + 5904U);
    t12 = (t0 + 5888U);
    t2 = xsi_base_array_concat(t2, t14, t5, (char)97, t3, t6, (char)97, t4, t12, (char)101);
    t13 = (t0 + 1748U);
    t19 = *((char **)t13);
    t15 = (15 - 7);
    t16 = (t15 * 1U);
    t17 = (0 + t16);
    t13 = (t19 + t17);
    t24 = (4U + 4U);
    memcpy(t13, t2, t24);
    xsi_set_current_line(95, ng0);
    t2 = (t0 + 2452);
    t3 = (t0 + 2040U);
    t4 = (t0 + 1612U);
    t5 = *((char **)t4);
    t4 = (t0 + 5904U);
    ieee_p_3564397177_sub_3988856810_91900896(IEEE_P_3564397177, t2, t3, t5, t4);
    xsi_set_current_line(96, ng0);
    t2 = (t0 + 2452);
    t3 = (t0 + 2040U);
    t4 = (t0 + 1544U);
    t5 = *((char **)t4);
    t4 = (t0 + 5888U);
    ieee_p_3564397177_sub_3988856810_91900896(IEEE_P_3564397177, t2, t3, t5, t4);
    xsi_set_current_line(97, ng0);
    t2 = (t0 + 1612U);
    t3 = *((char **)t2);
    t2 = (t0 + 1544U);
    t4 = *((char **)t2);
    t5 = ((IEEE_P_2592010699) + 2332);
    t6 = (t0 + 5904U);
    t12 = (t0 + 5888U);
    t2 = xsi_base_array_concat(t2, t14, t5, (char)97, t3, t6, (char)97, t4, t12, (char)101);
    t13 = (t0 + 1748U);
    t19 = *((char **)t13);
    t15 = (15 - 15);
    t16 = (t15 * 1U);
    t17 = (0 + t16);
    t13 = (t19 + t17);
    t24 = (4U + 4U);
    memcpy(t13, t2, t24);
    xsi_set_current_line(99, ng0);
    t2 = (t0 + 1748U);
    t3 = *((char **)t2);
    t2 = (t0 + 2892);
    t4 = (t2 + 32U);
    t5 = *((char **)t4);
    t6 = (t5 + 40U);
    t12 = *((char **)t6);
    memcpy(t12, t3, 16U);
    xsi_driver_first_trans_fast(t2);
    xsi_set_current_line(100, ng0);
    t2 = (t0 + 1408U);
    t3 = *((char **)t2);
    t28 = *((int64 *)t3);
    t29 = (1 * t28);
    t2 = (t0 + 2452);
    xsi_process_wait(t2, t29);

LAB25:    *((char **)t1) = &&LAB26;
    goto LAB1;

LAB21:    t2 = (t0 + 6096);
    t25 = *((int *)t2);
    t3 = (t0 + 6100);
    t27 = *((int *)t3);
    if (t25 == t27)
        goto LAB22;

LAB27:    t23 = (t25 + 1);
    t25 = t23;
    t4 = (t0 + 6096);
    *((int *)t4) = t25;
    goto LAB19;

LAB23:    goto LAB21;

LAB24:    goto LAB23;

LAB26:    goto LAB24;

LAB28:    xsi_set_current_line(113, ng0);
    t2 = (t0 + 6104);
    t4 = (t0 + 2928);
    t5 = (t4 + 32U);
    t6 = *((char **)t5);
    t12 = (t6 + 40U);
    t13 = *((char **)t12);
    memcpy(t13, t2, 8U);
    xsi_driver_first_trans_fast(t4);
    xsi_set_current_line(114, ng0);
    t2 = (t0 + 1408U);
    t3 = *((char **)t2);
    t28 = *((int64 *)t3);
    t29 = (60 * t28);
    t2 = (t0 + 2452);
    xsi_process_wait(t2, t29);

LAB34:    *((char **)t1) = &&LAB35;
    goto LAB1;

LAB29:    goto LAB28;

LAB31:    goto LAB29;

LAB32:    xsi_set_current_line(116, ng0);
    if ((unsigned char)0 == 0)
        goto LAB36;

LAB37:    goto LAB2;

LAB33:    goto LAB32;

LAB35:    goto LAB33;

LAB36:    t2 = (t0 + 6112);
    xsi_report(t2, 19U, (unsigned char)3);
    goto LAB37;

}
예제 #27
0
static void work_a_0658286079_0521664330_p_1(char *t0)
{
    char t10[16];
    char *t1;
    char *t2;
    unsigned char t3;
    unsigned char t4;
    char *t5;
    char *t6;
    unsigned int t7;
    unsigned int t8;
    unsigned int t9;
    char *t11;
    char *t12;
    int t13;
    unsigned int t14;
    int t15;
    int t16;
    unsigned int t17;
    unsigned int t18;
    char *t19;
    char *t20;
    char *t21;
    char *t22;
    char *t23;
    char *t24;

LAB0:    xsi_set_current_line(44, ng0);
    t1 = (t0 + 776U);
    t2 = *((char **)t1);
    t3 = *((unsigned char *)t2);
    t4 = (t3 == (unsigned char)3);
    if (t4 != 0)
        goto LAB3;

LAB4:
LAB2:    t24 = (t0 + 2380);
    *((int *)t24) = 1;

LAB1:    return;
LAB3:    t1 = (t0 + 1144U);
    t5 = *((char **)t1);
    t1 = (t0 + 868U);
    t6 = *((char **)t1);
    t7 = (31 - 31);
    t8 = (t7 * 1U);
    t9 = (0 + t8);
    t1 = (t6 + t9);
    t11 = (t10 + 0U);
    t12 = (t11 + 0U);
    *((int *)t12) = 31;
    t12 = (t11 + 4U);
    *((int *)t12) = 2;
    t12 = (t11 + 8U);
    *((int *)t12) = -1;
    t13 = (2 - 31);
    t14 = (t13 * -1);
    t14 = (t14 + 1);
    t12 = (t11 + 12U);
    *((unsigned int *)t12) = t14;
    t15 = ieee_p_1242562249_sub_1657552908_1035706684(IEEE_P_1242562249, t1, t10);
    t16 = (t15 - 255);
    t14 = (t16 * -1);
    xsi_vhdl_check_range_of_index(255, 0, -1, t15);
    t17 = (32U * t14);
    t18 = (0 + t17);
    t12 = (t5 + t18);
    t19 = (t0 + 2460);
    t20 = (t19 + 32U);
    t21 = *((char **)t20);
    t22 = (t21 + 40U);
    t23 = *((char **)t22);
    memcpy(t23, t12, 32U);
    xsi_driver_first_trans_fast_port(t19);
    goto LAB2;

}
static void kjb5568_rjl5336_library_a_3895477794_3212880686_p_0(char *t0)
{
    char t7[16];
    char *t1;
    char *t2;
    int t3;
    unsigned int t4;
    unsigned int t5;
    unsigned int t6;
    char *t8;
    char *t9;
    int t10;
    unsigned int t11;
    int t12;
    unsigned char t13;
    char *t14;
    char *t15;
    char *t16;
    char *t17;
    char *t18;
    char *t19;
    char *t20;
    char *t21;
    char *t22;
    char *t23;

LAB0:    xsi_set_current_line(51, ng0);
    t1 = (t0 + 1992U);
    t2 = *((char **)t1);
    t3 = (16 - 1);
    t4 = (15 - t3);
    t5 = (t4 * 1U);
    t6 = (0 + t5);
    t1 = (t2 + t6);
    t8 = (t7 + 0U);
    t9 = (t8 + 0U);
    *((int *)t9) = 15;
    t9 = (t8 + 4U);
    *((int *)t9) = 0;
    t9 = (t8 + 8U);
    *((int *)t9) = -1;
    t10 = (0 - 15);
    t11 = (t10 * -1);
    t11 = (t11 + 1);
    t9 = (t8 + 12U);
    *((unsigned int *)t9) = t11;
    t12 = ieee_p_1242562249_sub_1657552908_1035706684(IEEE_P_1242562249, t1, t7);
    t13 = (t12 == 15000);
    if (t13 != 0)
        goto LAB3;

LAB4:
LAB5:    t18 = (t0 + 4320);
    t19 = (t18 + 56U);
    t20 = *((char **)t19);
    t21 = (t20 + 56U);
    t22 = *((char **)t21);
    *((unsigned char *)t22) = (unsigned char)2;
    xsi_driver_first_trans_fast(t18);

LAB2:    t23 = (t0 + 4208);
    *((int *)t23) = 1;

LAB1:    return;
LAB3:    t9 = (t0 + 4320);
    t14 = (t9 + 56U);
    t15 = *((char **)t14);
    t16 = (t15 + 56U);
    t17 = *((char **)t16);
    *((unsigned char *)t17) = (unsigned char)3;
    xsi_driver_first_trans_fast(t9);
    goto LAB2;

LAB6:    goto LAB2;

}
예제 #29
0
static void work_a_1864849918_3212880686_p_0(char *t0)
{
    char t18[16];
    char t25[8];
    char t36[16];
    char t40[8];
    char t41[8];
    unsigned char t1;
    char *t2;
    unsigned char t3;
    char *t4;
    char *t5;
    unsigned char t6;
    unsigned char t7;
    char *t8;
    int t9;
    int t10;
    char *t11;
    unsigned int t12;
    unsigned int t13;
    unsigned int t14;
    char *t15;
    double t16;
    char *t17;
    int t19;
    unsigned int t20;
    int t21;
    char *t22;
    int t23;
    int t24;
    char *t26;
    char *t27;
    unsigned char t28;
    char *t29;
    char *t30;
    char *t31;
    char *t33;
    char *t34;
    unsigned int t35;
    int t37;
    int t38;
    unsigned int t39;

LAB0:    xsi_set_current_line(154, ng2);
    t2 = (t0 + 992U);
    t3 = xsi_signal_has_event(t2);
    if (t3 == 1)
        goto LAB5;

LAB6:    t1 = (unsigned char)0;

LAB7:    if (t1 != 0)
        goto LAB2;

LAB4:
LAB3:    t2 = (t0 + 6016);
    *((int *)t2) = 1;

LAB1:    return;
LAB2:    xsi_set_current_line(155, ng2);
    t4 = (t0 + 3408U);
    t8 = *((char **)t4);
    t9 = *((int *)t8);
    t10 = (t9 + 1);
    t4 = (t0 + 3408U);
    t11 = *((char **)t4);
    t4 = (t11 + 0);
    *((int *)t4) = t10;
    xsi_set_current_line(156, ng2);
    t2 = (t0 + 1352U);
    t4 = *((char **)t2);
    t1 = *((unsigned char *)t4);
    t3 = (t1 == (unsigned char)3);
    if (t3 != 0)
        goto LAB8;

LAB10:
LAB9:    goto LAB3;

LAB5:    t4 = (t0 + 1032U);
    t5 = *((char **)t4);
    t6 = *((unsigned char *)t5);
    t7 = (t6 == (unsigned char)3);
    t1 = t7;
    goto LAB7;

LAB8:    xsi_set_current_line(157, ng2);
    t2 = (t0 + 3288U);
    t5 = *((char **)t2);
    t9 = *((int *)t5);
    t10 = (t9 + 1);
    t2 = (t0 + 3288U);
    t8 = *((char **)t2);
    t2 = (t8 + 0);
    *((int *)t2) = t10;
    xsi_set_current_line(160, ng2);
    if ((unsigned char)0 != 0)
        goto LAB11;

LAB13:    xsi_set_current_line(166, ng2);
    if ((unsigned char)0 != 0)
        goto LAB14;

LAB16:    xsi_set_current_line(169, ng2);
    t2 = (t0 + 1512U);
    t4 = *((char **)t2);
    t2 = (t0 + 3648U);
    t5 = *((char **)t2);
    t9 = *((int *)t5);
    t10 = (t9 - 1);
    t12 = (1 - t10);
    t13 = (t12 * 1U);
    t14 = (0 + t13);
    t2 = (t4 + t14);
    t8 = (t18 + 0U);
    t11 = (t8 + 0U);
    *((int *)t11) = 1;
    t11 = (t8 + 4U);
    *((int *)t11) = 0;
    t11 = (t8 + 8U);
    *((int *)t11) = -1;
    t19 = (0 - 1);
    t20 = (t19 * -1);
    t20 = (t20 + 1);
    t11 = (t8 + 12U);
    *((unsigned int *)t11) = t20;
    t16 = ieee_proposed_p_0892474878_sub_38700950_450259796(IEEE_PROPOSED_P_0892474878, t2, t18);
    t11 = (t0 + 4008U);
    t15 = *((char **)t11);
    t11 = (t15 + 0);
    *((double *)t11) = t16;

LAB15:
LAB12:    xsi_set_current_line(173, ng2);
    t2 = (t0 + 4248U);
    t4 = *((char **)t2);
    t2 = (t4 + 0);
    *((int *)t2) = 0;
    xsi_set_current_line(174, ng2);
    if ((unsigned char)0 != 0)
        goto LAB17;

LAB19:    xsi_set_current_line(188, ng2);
    t2 = (t0 + 1672U);
    t4 = *((char **)t2);
    t1 = *((unsigned char *)t4);
    t3 = (t1 == (unsigned char)0);
    if (t3 != 0)
        goto LAB26;

LAB28:
LAB27:    xsi_set_current_line(204, ng2);
    t2 = (t0 + 5504);
    t4 = (t0 + 4752U);
    t5 = (t0 + 3288U);
    t11 = *((char **)t5);
    t9 = *((int *)t11);
    std_textio_write5(STD_TEXTIO, t2, t4, t9, (unsigned char)0, 12);
    xsi_set_current_line(205, ng2);
    t2 = (t0 + 5504);
    t4 = (t0 + 4752U);
    std_textio_write4(STD_TEXTIO, t2, t4, (unsigned char)32, (unsigned char)0, 0);
    xsi_set_current_line(206, ng2);
    t2 = (t0 + 5504);
    t4 = (t0 + 4752U);
    t5 = (t0 + 3408U);
    t11 = *((char **)t5);
    t9 = *((int *)t11);
    t5 = (t0 + 3528U);
    t15 = *((char **)t5);
    t10 = *((int *)t15);
    t19 = (t9 - t10);
    std_textio_write5(STD_TEXTIO, t2, t4, t19, (unsigned char)0, 6);
    xsi_set_current_line(207, ng2);
    t2 = (t0 + 3408U);
    t4 = *((char **)t2);
    t9 = *((int *)t4);
    t2 = (t0 + 3528U);
    t5 = *((char **)t2);
    t2 = (t5 + 0);
    *((int *)t2) = t9;
    xsi_set_current_line(208, ng2);
    t2 = (t0 + 5504);
    t4 = (t0 + 4752U);
    t5 = (t0 + 11221);
    t15 = (t18 + 0U);
    t17 = (t15 + 0U);
    *((int *)t17) = 1;
    t17 = (t15 + 4U);
    *((int *)t17) = 2;
    t17 = (t15 + 8U);
    *((int *)t17) = 1;
    t9 = (2 - 1);
    t12 = (t9 * 1);
    t12 = (t12 + 1);
    t17 = (t15 + 12U);
    *((unsigned int *)t17) = t12;
    std_textio_write7(STD_TEXTIO, t2, t4, t5, t18, (unsigned char)0, 0);
    xsi_set_current_line(210, ng2);
    t2 = (t0 + 4248U);
    t4 = *((char **)t2);
    t2 = (t4 + 0);
    *((int *)t2) = 0;
    xsi_set_current_line(211, ng2);
    t1 = (0 > 0);
    if (t1 != 0)
        goto LAB35;

LAB37:
LAB36:    xsi_set_current_line(217, ng2);
    if ((unsigned char)0 != 0)
        goto LAB38;

LAB40:    if ((unsigned char)0 != 0)
        goto LAB41;

LAB42:    xsi_set_current_line(222, ng2);
    t2 = (t0 + 5504);
    t4 = (t0 + 4752U);
    t5 = (t0 + 1512U);
    t11 = *((char **)t5);
    t5 = (t0 + 3648U);
    t15 = *((char **)t5);
    t9 = *((int *)t15);
    t10 = (t9 - 1);
    t12 = (1 - t10);
    t13 = (t12 * 1U);
    t14 = (0 + t13);
    t5 = (t11 + t14);
    memcpy(t41, t5, 2U);
    t22 = (t0 + 10904U);
    ieee_p_3564397177_sub_1281154728_91900896(IEEE_P_3564397177, t2, t4, t41, t22, (unsigned char)0, 0);

LAB39:    xsi_set_current_line(224, ng2);
    t2 = (t0 + 5504);
    t4 = (t0 + 4576U);
    t5 = (t0 + 4752U);
    std_textio_writeline(STD_TEXTIO, t2, t4, t5);

LAB18:    goto LAB9;

LAB11:    xsi_set_current_line(162, ng2);
    t2 = (t0 + 1512U);
    t4 = *((char **)t2);
    t2 = (t0 + 3648U);
    t5 = *((char **)t2);
    t9 = *((int *)t5);
    t10 = (t9 - 1);
    t12 = (1 - t10);
    t13 = (t12 * 1U);
    t14 = (0 + t13);
    t2 = (t4 + t14);
    t8 = (t0 + 4128U);
    t11 = *((char **)t8);
    t8 = (t11 + 0);
    memcpy(t8, t2, 2U);
    xsi_set_current_line(163, ng2);
    t2 = (t0 + 4128U);
    t4 = *((char **)t2);
    t2 = (t0 + 4136);
    t2 = *((char **)t2);
    t5 = ((IEEE_PROPOSED_P_0618294350) + 1408U);
    t8 = *((char **)t5);
    t1 = *((unsigned char *)t8);
    t5 = ((IEEE_PROPOSED_P_0618294350) + 1648U);
    t11 = *((char **)t5);
    t3 = *((unsigned char *)t11);
    t5 = ((IEEE_PROPOSED_P_0618294350) + 1528U);
    t15 = *((char **)t5);
    t6 = *((unsigned char *)t15);
    t16 = ieee_proposed_p_0618294350_sub_321460540_3517329569(IEEE_PROPOSED_P_0618294350, t4, t2, t1, t3, t6);
    t5 = (t0 + 4008U);
    t17 = *((char **)t5);
    t5 = (t17 + 0);
    *((double *)t5) = t16;
    goto LAB12;

LAB14:    xsi_set_current_line(167, ng2);
    t2 = (t0 + 1512U);
    t4 = *((char **)t2);
    t2 = (t0 + 3648U);
    t5 = *((char **)t2);
    t9 = *((int *)t5);
    t10 = (t9 - 1);
    t12 = (1 - t10);
    t13 = (t12 * 1U);
    t14 = (0 + t13);
    t2 = (t4 + t14);
    t8 = (t18 + 0U);
    t11 = (t8 + 0U);
    *((int *)t11) = 1;
    t11 = (t8 + 4U);
    *((int *)t11) = 0;
    t11 = (t8 + 8U);
    *((int *)t11) = -1;
    t19 = (0 - 1);
    t20 = (t19 * -1);
    t20 = (t20 + 1);
    t11 = (t8 + 12U);
    *((unsigned int *)t11) = t20;
    t16 = ieee_proposed_p_0892474878_sub_3726917380_450259796(IEEE_PROPOSED_P_0892474878, t2, t18);
    t11 = (t0 + 4008U);
    t15 = *((char **)t11);
    t11 = (t15 + 0);
    *((double *)t11) = t16;
    goto LAB15;

LAB17:    xsi_set_current_line(175, ng2);
    t1 = (0 > 0);
    if (t1 != 0)
        goto LAB20;

LAB22:
LAB21:    xsi_set_current_line(181, ng2);
    if ((unsigned char)0 != 0)
        goto LAB23;

LAB25:    xsi_set_current_line(184, ng2);
    t2 = (t0 + 5504);
    t4 = (t0 + 4752U);
    t5 = (t0 + 1512U);
    t8 = *((char **)t5);
    t5 = (t0 + 3648U);
    t11 = *((char **)t5);
    t9 = *((int *)t11);
    t10 = (t9 - 1);
    t12 = (1 - t10);
    t13 = (t12 * 1U);
    t14 = (0 + t13);
    t5 = (t8 + t14);
    memcpy(t25, t5, 2U);
    t15 = (t0 + 10904U);
    ieee_p_3564397177_sub_1281154728_91900896(IEEE_P_3564397177, t2, t4, t25, t15, (unsigned char)0, 0);

LAB24:    xsi_set_current_line(186, ng2);
    t2 = (t0 + 5504);
    t4 = (t0 + 4576U);
    t5 = (t0 + 4752U);
    std_textio_writeline(STD_TEXTIO, t2, t4, t5);
    goto LAB18;

LAB20:    xsi_set_current_line(176, ng2);
    t2 = (t0 + 3648U);
    t4 = *((char **)t2);
    t9 = *((int *)t4);
    t2 = (t0 + 4248U);
    t5 = *((char **)t2);
    t2 = (t5 + 0);
    *((int *)t2) = t9;
    xsi_set_current_line(177, ng2);
    t2 = (t0 + 5504);
    t4 = (t0 + 4752U);
    t5 = (t0 + 1512U);
    t8 = *((char **)t5);
    t9 = (2 - 1);
    t12 = (1 - t9);
    t5 = (t0 + 4248U);
    t11 = *((char **)t5);
    t10 = *((int *)t11);
    xsi_vhdl_check_range_of_slice(1, 0, -1, t9, t10, -1);
    t13 = (t12 * 1U);
    t14 = (0 + t13);
    t5 = (t8 + t14);
    t19 = (2 - 1);
    t15 = (t0 + 4248U);
    t17 = *((char **)t15);
    t21 = *((int *)t17);
    t15 = (t18 + 0U);
    t22 = (t15 + 0U);
    *((int *)t22) = t19;
    t22 = (t15 + 4U);
    *((int *)t22) = t21;
    t22 = (t15 + 8U);
    *((int *)t22) = -1;
    t23 = (t21 - t19);
    t20 = (t23 * -1);
    t20 = (t20 + 1);
    t22 = (t15 + 12U);
    *((unsigned int *)t22) = t20;
    t24 = ieee_p_1242562249_sub_1657552908_1035706684(IEEE_P_1242562249, t5, t18);
    std_textio_write5(STD_TEXTIO, t2, t4, t24, (unsigned char)0, 0);
    xsi_set_current_line(178, ng2);
    t2 = (t0 + 5504);
    t4 = (t0 + 4752U);
    std_textio_write4(STD_TEXTIO, t2, t4, (unsigned char)59, (unsigned char)0, 0);
    goto LAB21;

LAB23:    xsi_set_current_line(182, ng2);
    t2 = (t0 + 5504);
    t4 = (t0 + 4752U);
    t5 = (t0 + 4008U);
    t8 = *((char **)t5);
    t16 = *((double *)t8);
    std_textio_write6(STD_TEXTIO, t2, t4, t16, (unsigned char)0, 0, 0);
    goto LAB24;

LAB26:    xsi_set_current_line(189, ng2);
    t2 = (t0 + 10872U);
    t5 = (t2 + 12U);
    t12 = *((unsigned int *)t5);
    t6 = (t12 > 0);
    if (t6 != 0)
        goto LAB29;

LAB31:
LAB30:    xsi_set_current_line(196, ng2);
    t2 = (t0 + 5504);
    t4 = (t0 + 4752U);
    t5 = (t0 + 11154);
    t15 = (t18 + 0U);
    t17 = (t15 + 0U);
    *((int *)t17) = 1;
    t17 = (t15 + 4U);
    *((int *)t17) = 42;
    t17 = (t15 + 8U);
    *((int *)t17) = 1;
    t9 = (42 - 1);
    t12 = (t9 * 1);
    t12 = (t12 + 1);
    t17 = (t15 + 12U);
    *((unsigned int *)t17) = t12;
    std_textio_write7(STD_TEXTIO, t2, t4, t5, t18, (unsigned char)0, 0);
    xsi_set_current_line(197, ng2);
    t2 = (t0 + 5504);
    t4 = (t0 + 4752U);
    t5 = xsi_string_variable_get_path_name((char)101, t18, t0);
    std_textio_write7(STD_TEXTIO, t2, t4, t5, t18, (unsigned char)0, 0);
    xsi_set_current_line(198, ng2);
    t2 = (t0 + 5504);
    t4 = (t0 + 4576U);
    t5 = (t0 + 4752U);
    std_textio_writeline(STD_TEXTIO, t2, t4, t5);
    xsi_set_current_line(199, ng2);
    t2 = (t0 + 5504);
    t4 = (t0 + 4752U);
    t5 = (t0 + 11196);
    t15 = (t18 + 0U);
    t17 = (t15 + 0U);
    *((int *)t17) = 1;
    t17 = (t15 + 4U);
    *((int *)t17) = 13;
    t17 = (t15 + 8U);
    *((int *)t17) = 1;
    t9 = (13 - 1);
    t12 = (t9 * 1);
    t12 = (t12 + 1);
    t17 = (t15 + 12U);
    *((unsigned int *)t17) = t12;
    std_textio_write7(STD_TEXTIO, t2, t4, t5, t18, (unsigned char)0, 0);
    xsi_set_current_line(200, ng2);
    t2 = (t0 + 5504);
    t4 = (t0 + 4752U);
    t5 = (t0 + 11209);
    memcpy(t36, t5, 12U);
    t15 = (t0 + 10856U);
    std_textio_write7(STD_TEXTIO, t2, t4, t36, t15, (unsigned char)0, 0);
    xsi_set_current_line(201, ng2);
    t2 = (t0 + 5504);
    t4 = (t0 + 4576U);
    t5 = (t0 + 4752U);
    std_textio_writeline(STD_TEXTIO, t2, t4, t5);
    xsi_set_current_line(202, ng2);
    t2 = (t0 + 6176);
    t4 = (t2 + 56U);
    t5 = *((char **)t4);
    t11 = (t5 + 56U);
    t15 = *((char **)t11);
    *((unsigned char *)t15) = (unsigned char)1;
    xsi_driver_first_trans_fast(t2);
    goto LAB27;

LAB29:    xsi_set_current_line(190, ng2);
    t8 = (t0 + 5112U);
    t11 = (t8 + 56U);
    t15 = *((char **)t11);
    t11 = (t0 + 10872U);
    t17 = (t11 + 0U);
    t9 = *((int *)t17);
    t22 = (t0 + 10872U);
    t26 = (t22 + 8U);
    t10 = *((int *)t26);
    t19 = (1 - t9);
    t13 = (t19 * t10);
    t14 = (1U * t13);
    t20 = (0 + t14);
    t27 = (t15 + t20);
    t7 = *((unsigned char *)t27);
    t28 = (t7 != (unsigned char)35);
    if (t28 != 0)
        goto LAB32;

LAB34:
LAB33:    xsi_set_current_line(193, ng2);
    t2 = (t0 + 5504);
    t4 = (t0 + 4752U);
    t5 = (t0 + 5112U);
    t8 = (t5 + 56U);
    t11 = *((char **)t8);
    memcpy(t8, t11, 0U);
    t15 = (t0 + 10872U);
    std_textio_write7(STD_TEXTIO, t2, t4, t8, t15, (unsigned char)0, 0);
    xsi_set_current_line(194, ng2);
    t2 = (t0 + 5504);
    t4 = (t0 + 4576U);
    t5 = (t0 + 4752U);
    std_textio_writeline(STD_TEXTIO, t2, t4, t5);
    goto LAB30;

LAB32:    xsi_set_current_line(191, ng2);
    t29 = (t0 + 5504);
    t30 = (t0 + 4752U);
    t31 = (t0 + 11152);
    t33 = (t18 + 0U);
    t34 = (t33 + 0U);
    *((int *)t34) = 1;
    t34 = (t33 + 4U);
    *((int *)t34) = 2;
    t34 = (t33 + 8U);
    *((int *)t34) = 1;
    t21 = (2 - 1);
    t35 = (t21 * 1);
    t35 = (t35 + 1);
    t34 = (t33 + 12U);
    *((unsigned int *)t34) = t35;
    std_textio_write7(STD_TEXTIO, t29, t30, t31, t18, (unsigned char)0, 0);
    goto LAB33;

LAB35:    xsi_set_current_line(212, ng2);
    t2 = (t0 + 3648U);
    t4 = *((char **)t2);
    t9 = *((int *)t4);
    t2 = (t0 + 4248U);
    t5 = *((char **)t2);
    t2 = (t5 + 0);
    *((int *)t2) = t9;
    xsi_set_current_line(213, ng2);
    t2 = (t0 + 5504);
    t4 = (t0 + 4752U);
    t5 = (t0 + 1512U);
    t11 = *((char **)t5);
    t9 = (2 - 1);
    t12 = (1 - t9);
    t5 = (t0 + 4248U);
    t15 = *((char **)t5);
    t10 = *((int *)t15);
    xsi_vhdl_check_range_of_slice(1, 0, -1, t9, t10, -1);
    t13 = (t12 * 1U);
    t14 = (0 + t13);
    t5 = (t11 + t14);
    t19 = (2 - 1);
    t17 = (t0 + 4248U);
    t22 = *((char **)t17);
    t21 = *((int *)t22);
    t23 = (t21 - t19);
    t20 = (t23 * -1);
    t20 = (t20 + 1);
    t35 = (1U * t20);
    t17 = (char *)alloca(t35);
    memcpy(t17, t5, t35);
    t24 = (2 - 1);
    t26 = (t0 + 4248U);
    t27 = *((char **)t26);
    t37 = *((int *)t27);
    t26 = (t18 + 0U);
    t29 = (t26 + 0U);
    *((int *)t29) = t24;
    t29 = (t26 + 4U);
    *((int *)t29) = t37;
    t29 = (t26 + 8U);
    *((int *)t29) = -1;
    t38 = (t37 - t24);
    t39 = (t38 * -1);
    t39 = (t39 + 1);
    t29 = (t26 + 12U);
    *((unsigned int *)t29) = t39;
    ieee_p_3564397177_sub_1281154728_91900896(IEEE_P_3564397177, t2, t4, t17, t18, (unsigned char)0, 0);
    xsi_set_current_line(214, ng2);
    t2 = (t0 + 5504);
    t4 = (t0 + 4752U);
    std_textio_write4(STD_TEXTIO, t2, t4, (unsigned char)32, (unsigned char)0, 0);
    goto LAB36;

LAB38:    xsi_set_current_line(218, ng2);
    t2 = (t0 + 5504);
    t4 = (t0 + 4752U);
    t5 = (t0 + 4008U);
    t11 = *((char **)t5);
    t16 = *((double *)t11);
    std_textio_write6(STD_TEXTIO, t2, t4, t16, (unsigned char)0, 0, 0);
    goto LAB39;

LAB41:    xsi_set_current_line(220, ng2);
    t2 = (t0 + 5504);
    t4 = (t0 + 4752U);
    t5 = (t0 + 1512U);
    t11 = *((char **)t5);
    t5 = (t0 + 3648U);
    t15 = *((char **)t5);
    t9 = *((int *)t15);
    t10 = (t9 - 1);
    t12 = (1 - t10);
    t13 = (t12 * 1U);
    t14 = (0 + t13);
    t5 = (t11 + t14);
    memcpy(t40, t5, 2U);
    t22 = (t0 + 10904U);
    ieee_p_3564397177_sub_3205433008_91900896(IEEE_P_3564397177, t2, t4, t40, t22, (unsigned char)0, 0);
    goto LAB39;

}
static void work_a_2868348070_3212880686_p_0(char *t0)
{
    char t13[16];
    char *t1;
    unsigned char t2;
    char *t3;
    char *t4;
    unsigned char t5;
    unsigned char t6;
    char *t7;
    char *t8;
    int t9;
    unsigned int t10;
    unsigned int t11;
    unsigned int t12;
    char *t14;
    char *t15;
    int t16;
    unsigned int t17;
    int t18;
    int t19;
    unsigned int t20;
    unsigned int t21;
    char *t22;
    char *t23;
    char *t24;
    char *t25;

LAB0:    xsi_set_current_line(58, ng0);
    t1 = (t0 + 992U);
    t2 = ieee_p_2592010699_sub_1258338084_503743352(IEEE_P_2592010699, t1, 0U, 0U);
    if (t2 != 0)
        goto LAB2;

LAB4:
LAB3:    xsi_set_current_line(72, ng0);
    t1 = (t0 + 2472U);
    t3 = *((char **)t1);
    t1 = (t0 + 2632U);
    t4 = *((char **)t1);
    t9 = (8 - 1);
    t10 = (31 - t9);
    t11 = (t10 * 1U);
    t12 = (0 + t11);
    t1 = (t4 + t12);
    t7 = (t13 + 0U);
    t8 = (t7 + 0U);
    *((int *)t8) = 7;
    t8 = (t7 + 4U);
    *((int *)t8) = 0;
    t8 = (t7 + 8U);
    *((int *)t8) = -1;
    t16 = (0 - 7);
    t17 = (t16 * -1);
    t17 = (t17 + 1);
    t8 = (t7 + 12U);
    *((unsigned int *)t8) = t17;
    t18 = ieee_p_1242562249_sub_1657552908_1035706684(IEEE_P_1242562249, t1, t13);
    t19 = (t18 - 255);
    t17 = (t19 * -1);
    xsi_vhdl_check_range_of_index(255, 0, -1, t18);
    t20 = (32U * t17);
    t21 = (0 + t20);
    t8 = (t3 + t21);
    t14 = (t0 + 4904);
    t15 = (t14 + 56U);
    t22 = *((char **)t15);
    t23 = (t22 + 56U);
    t24 = *((char **)t23);
    memcpy(t24, t8, 32U);
    xsi_driver_first_trans_fast_port(t14);
    xsi_set_current_line(73, ng0);
    t1 = (t0 + 2472U);
    t3 = *((char **)t1);
    t1 = (t0 + 2792U);
    t4 = *((char **)t1);
    t9 = (8 - 1);
    t10 = (31 - t9);
    t11 = (t10 * 1U);
    t12 = (0 + t11);
    t1 = (t4 + t12);
    t7 = (t13 + 0U);
    t8 = (t7 + 0U);
    *((int *)t8) = 7;
    t8 = (t7 + 4U);
    *((int *)t8) = 0;
    t8 = (t7 + 8U);
    *((int *)t8) = -1;
    t16 = (0 - 7);
    t17 = (t16 * -1);
    t17 = (t17 + 1);
    t8 = (t7 + 12U);
    *((unsigned int *)t8) = t17;
    t18 = ieee_p_1242562249_sub_1657552908_1035706684(IEEE_P_1242562249, t1, t13);
    t19 = (t18 - 255);
    t17 = (t19 * -1);
    xsi_vhdl_check_range_of_index(255, 0, -1, t18);
    t20 = (32U * t17);
    t21 = (0 + t20);
    t8 = (t3 + t21);
    t14 = (t0 + 4968);
    t15 = (t14 + 56U);
    t22 = *((char **)t15);
    t23 = (t22 + 56U);
    t24 = *((char **)t23);
    memcpy(t24, t8, 32U);
    xsi_driver_first_trans_fast_port(t14);
    t1 = (t0 + 4632);
    *((int *)t1) = 1;

LAB1:    return;
LAB2:    xsi_set_current_line(65, ng0);
    t3 = (t0 + 1672U);
    t4 = *((char **)t3);
    t5 = *((unsigned char *)t4);
    t6 = (t5 == (unsigned char)3);
    if (t6 != 0)
        goto LAB5;

LAB7:
LAB6:    xsi_set_current_line(68, ng0);
    t1 = (t0 + 1832U);
    t3 = *((char **)t1);
    t1 = (t0 + 4776);
    t4 = (t1 + 56U);
    t7 = *((char **)t4);
    t8 = (t7 + 56U);
    t14 = *((char **)t8);
    memcpy(t14, t3, 32U);
    xsi_driver_first_trans_fast(t1);
    xsi_set_current_line(69, ng0);
    t1 = (t0 + 1992U);
    t3 = *((char **)t1);
    t1 = (t0 + 4840);
    t4 = (t1 + 56U);
    t7 = *((char **)t4);
    t8 = (t7 + 56U);
    t14 = *((char **)t8);
    memcpy(t14, t3, 32U);
    xsi_driver_first_trans_fast(t1);
    goto LAB3;

LAB5:    xsi_set_current_line(66, ng0);
    t3 = (t0 + 1512U);
    t7 = *((char **)t3);
    t3 = (t0 + 1352U);
    t8 = *((char **)t3);
    t9 = (8 - 1);
    t10 = (31 - t9);
    t11 = (t10 * 1U);
    t12 = (0 + t11);
    t3 = (t8 + t12);
    t14 = (t13 + 0U);
    t15 = (t14 + 0U);
    *((int *)t15) = 7;
    t15 = (t14 + 4U);
    *((int *)t15) = 0;
    t15 = (t14 + 8U);
    *((int *)t15) = -1;
    t16 = (0 - 7);
    t17 = (t16 * -1);
    t17 = (t17 + 1);
    t15 = (t14 + 12U);
    *((unsigned int *)t15) = t17;
    t18 = ieee_p_1242562249_sub_1657552908_1035706684(IEEE_P_1242562249, t3, t13);
    t19 = (t18 - 255);
    t17 = (t19 * -1);
    t20 = (32U * t17);
    t21 = (0U + t20);
    t15 = (t0 + 4712);
    t22 = (t15 + 56U);
    t23 = *((char **)t22);
    t24 = (t23 + 56U);
    t25 = *((char **)t24);
    memcpy(t25, t7, 32U);
    xsi_driver_first_trans_delta(t15, t21, 32U, 0LL);
    goto LAB6;

}