Exemplo n.º 1
0
char *work_a_1164455951_3212880686_sub_1372999719_3057020925(char *t1, char *t2, char *t3, char *t4, int t5)
{
    char t6[752];
    char t7[24];
    char t13[16];
    char t40[8];
    char t46[8];
    char t52[8];
    char t58[8];
    char t64[8];
    char t85[16];
    char t90[16];
    char *t0;
    char *t8;
    unsigned int t9;
    int t10;
    int t11;
    unsigned int t12;
    char *t14;
    unsigned int t15;
    int t16;
    char *t17;
    char *t18;
    int t19;
    unsigned int t20;
    char *t21;
    char *t22;
    char *t23;
    char *t24;
    char *t25;
    char *t26;
    char *t27;
    char *t28;
    char *t29;
    char *t30;
    int t31;
    char *t32;
    unsigned int t33;
    unsigned int t34;
    unsigned int t35;
    unsigned int t36;
    char *t37;
    char *t38;
    char *t39;
    char *t41;
    char *t42;
    char *t43;
    char *t44;
    char *t45;
    char *t47;
    char *t48;
    char *t49;
    char *t50;
    char *t51;
    char *t53;
    char *t54;
    char *t55;
    char *t56;
    char *t57;
    char *t59;
    char *t60;
    char *t61;
    char *t62;
    char *t63;
    char *t65;
    char *t66;
    char *t67;
    unsigned char t68;
    char *t69;
    char *t70;
    unsigned char t71;
    char *t72;
    unsigned int t73;
    char *t74;
    int t75;
    char *t76;
    int t77;
    char *t78;
    int t79;
    char *t80;
    char *t81;
    int t82;
    unsigned int t83;
    int t84;
    int t86;
    int t87;
    int t88;
    int t89;
    int t91;
    int t92;

LAB0:    t8 = (t4 + 12U);
    t9 = *((unsigned int *)t8);
    t10 = (t9 + 11);
    t11 = (t10 - 1);
    t12 = (t11 * 1);
    t12 = (t12 + 1);
    t12 = (t12 * 1U);
    t14 = (t4 + 12U);
    t15 = *((unsigned int *)t14);
    t16 = (t15 + 11);
    t17 = (t13 + 0U);
    t18 = (t17 + 0U);
    *((int *)t18) = 1;
    t18 = (t17 + 4U);
    *((int *)t18) = t16;
    t18 = (t17 + 8U);
    *((int *)t18) = 1;
    t19 = (t16 - 1);
    t20 = (t19 * 1);
    t20 = (t20 + 1);
    t18 = (t17 + 12U);
    *((unsigned int *)t18) = t20;
    t18 = (t6 + 4U);
    t21 = ((STD_STANDARD) + 1008);
    t22 = (t18 + 88U);
    *((char **)t22) = t21;
    t23 = xsi_get_memory(t12);
    t24 = (t18 + 56U);
    *((char **)t24) = t23;
    xsi_type_set_default_value(t21, t23, t13);
    t25 = (t18 + 64U);
    *((char **)t25) = t13;
    t26 = (t18 + 80U);
    *((unsigned int *)t26) = t12;
    t27 = (t18 + 136U);
    *((char **)t27) = t23;
    t28 = (t18 + 124U);
    *((int *)t28) = 0;
    t29 = (t18 + 128U);
    t30 = (t13 + 12U);
    t20 = *((unsigned int *)t30);
    t31 = (t20 - 1);
    *((int *)t29) = t31;
    t32 = (t18 + 120U);
    t34 = (t12 > 2147483644);
    if (t34 == 1)
        goto LAB2;

LAB3:    t35 = (t12 + 3);
    t36 = (t35 / 16);
    t33 = t36;

LAB4:    *((unsigned int *)t32) = t33;
    t37 = (t6 + 148U);
    t38 = ((STD_STANDARD) + 384);
    t39 = (t37 + 88U);
    *((char **)t39) = t38;
    t41 = (t37 + 56U);
    *((char **)t41) = t40;
    xsi_type_set_default_value(t38, t40, 0);
    t42 = (t37 + 80U);
    *((unsigned int *)t42) = 4U;
    t43 = (t6 + 268U);
    t44 = ((STD_STANDARD) + 384);
    t45 = (t43 + 88U);
    *((char **)t45) = t44;
    t47 = (t43 + 56U);
    *((char **)t47) = t46;
    xsi_type_set_default_value(t44, t46, 0);
    t48 = (t43 + 80U);
    *((unsigned int *)t48) = 4U;
    t49 = (t6 + 388U);
    t50 = ((STD_STANDARD) + 384);
    t51 = (t49 + 88U);
    *((char **)t51) = t50;
    t53 = (t49 + 56U);
    *((char **)t53) = t52;
    xsi_type_set_default_value(t50, t52, 0);
    t54 = (t49 + 80U);
    *((unsigned int *)t54) = 4U;
    t55 = (t6 + 508U);
    t56 = ((STD_STANDARD) + 384);
    t57 = (t55 + 88U);
    *((char **)t57) = t56;
    t59 = (t55 + 56U);
    *((char **)t59) = t58;
    xsi_type_set_default_value(t56, t58, 0);
    t60 = (t55 + 80U);
    *((unsigned int *)t60) = 4U;
    t61 = (t6 + 628U);
    t62 = ((STD_STANDARD) + 384);
    t63 = (t61 + 88U);
    *((char **)t63) = t62;
    t65 = (t61 + 56U);
    *((char **)t65) = t64;
    xsi_type_set_default_value(t62, t64, 0);
    t66 = (t61 + 80U);
    *((unsigned int *)t66) = 4U;
    t67 = (t7 + 4U);
    t68 = (t3 != 0);
    if (t68 == 1)
        goto LAB6;

LAB5:    t69 = (t7 + 12U);
    *((char **)t69) = t4;
    t70 = (t7 + 20U);
    *((int *)t70) = t5;
    t71 = (t5 < 0);
    if (t71 != 0)
        goto LAB7;

LAB9:    t8 = (t37 + 56U);
    t14 = *((char **)t8);
    t8 = (t14 + 0);
    *((int *)t8) = 0;
    t8 = (t4 + 8U);
    t10 = *((int *)t8);
    t14 = (t4 + 4U);
    t11 = *((int *)t14);
    t17 = (t4 + 0U);
    t16 = *((int *)t17);
    t19 = t16;
    t31 = t11;

LAB11:    t75 = (t31 * t10);
    t77 = (t19 * t10);
    if (t77 <= t75)
        goto LAB12;

LAB14:    t8 = (t37 + 56U);
    t14 = *((char **)t8);
    t10 = *((int *)t14);
    t68 = (t10 > 0);
    if (t68 != 0)
        goto LAB19;

LAB21:    t8 = (t4 + 12U);
    t9 = *((unsigned int *)t8);
    t9 = (t9 * 1U);
    t0 = xsi_get_transient_memory(t9);
    memcpy(t0, t3, t9);
    t14 = (t4 + 0U);
    t10 = *((int *)t14);
    t17 = (t4 + 4U);
    t11 = *((int *)t17);
    t21 = (t4 + 8U);
    t16 = *((int *)t21);
    t22 = (t2 + 0U);
    t23 = (t22 + 0U);
    *((int *)t23) = t10;
    t23 = (t22 + 4U);
    *((int *)t23) = t11;
    t23 = (t22 + 8U);
    *((int *)t23) = t16;
    t19 = (t11 - t10);
    t12 = (t19 * t16);
    t12 = (t12 + 1);
    t23 = (t22 + 12U);
    *((unsigned int *)t23) = t12;

LAB1:    t8 = (t18 + 80);
    t10 = *((int *)t8);
    t14 = (t18 + 136U);
    t17 = *((char **)t14);
    xsi_put_memory(t10, t17);
    return t0;
LAB2:    t33 = 2147483647;
    goto LAB4;

LAB6:    *((char **)t67) = t3;
    goto LAB5;

LAB7:    t72 = (t4 + 12U);
    t73 = *((unsigned int *)t72);
    t73 = (t73 * 1U);
    t0 = xsi_get_transient_memory(t73);
    memcpy(t0, t3, t73);
    t74 = (t4 + 0U);
    t75 = *((int *)t74);
    t76 = (t4 + 4U);
    t77 = *((int *)t76);
    t78 = (t4 + 8U);
    t79 = *((int *)t78);
    t80 = (t2 + 0U);
    t81 = (t80 + 0U);
    *((int *)t81) = t75;
    t81 = (t80 + 4U);
    *((int *)t81) = t77;
    t81 = (t80 + 8U);
    *((int *)t81) = t79;
    t82 = (t77 - t75);
    t83 = (t82 * t79);
    t83 = (t83 + 1);
    t81 = (t80 + 12U);
    *((unsigned int *)t81) = t83;
    goto LAB1;

LAB8:    xsi_error(ng1);
    t0 = 0;
    goto LAB1;

LAB10:    goto LAB8;

LAB12:    t21 = (t4 + 0U);
    t79 = *((int *)t21);
    t22 = (t4 + 8U);
    t82 = *((int *)t22);
    t84 = (t19 - t79);
    t9 = (t84 * t82);
    t12 = (1U * t9);
    t15 = (0 + t12);
    t23 = (t3 + t15);
    t68 = *((unsigned char *)t23);
    t71 = (t68 == (unsigned char)46);
    if (t71 != 0)
        goto LAB15;

LAB17:
LAB16:
LAB13:    if (t19 == t31)
        goto LAB14;

LAB18:    t11 = (t19 + t10);
    t19 = t11;
    goto LAB11;

LAB15:    t24 = (t37 + 56U);
    t25 = *((char **)t24);
    t24 = (t25 + 0);
    *((int *)t24) = t19;
    goto LAB16;

LAB19:    t8 = (t37 + 56U);
    t17 = *((char **)t8);
    t11 = *((int *)t17);
    t8 = (t4 + 0U);
    t16 = *((int *)t8);
    t19 = (t11 - t16);
    t21 = (t43 + 56U);
    t22 = *((char **)t21);
    t21 = (t22 + 0);
    *((int *)t21) = t19;
    t8 = (t4 + 4U);
    t10 = *((int *)t8);
    t14 = (t37 + 56U);
    t17 = *((char **)t14);
    t11 = *((int *)t17);
    t16 = (t10 - t11);
    t19 = (t16 + 1);
    t14 = (t49 + 56U);
    t21 = *((char **)t14);
    t14 = (t21 + 0);
    *((int *)t14) = t19;
    t8 = ((STD_STANDARD) + 384);
    t14 = xsi_int_to_mem(t5);
    t17 = xsi_string_variable_get_image(t85, t8, t14);
    t21 = (t85 + 12U);
    t9 = *((unsigned int *)t21);
    t10 = (t9 + 1);
    t22 = (t55 + 56U);
    t23 = *((char **)t22);
    t22 = (t23 + 0);
    *((int *)t22) = t10;
    t8 = (t43 + 56U);
    t14 = *((char **)t8);
    t10 = *((int *)t14);
    t8 = (t55 + 56U);
    t17 = *((char **)t8);
    t11 = *((int *)t17);
    t16 = (t10 + t11);
    t8 = (t49 + 56U);
    t21 = *((char **)t8);
    t19 = *((int *)t21);
    t31 = (t16 + t19);
    t8 = (t61 + 56U);
    t22 = *((char **)t8);
    t8 = (t22 + 0);
    *((int *)t8) = t31;
    t8 = (t4 + 0U);
    t10 = *((int *)t8);
    t14 = (t4 + 0U);
    t11 = *((int *)t14);
    t9 = (t11 - t10);
    t17 = (t37 + 56U);
    t21 = *((char **)t17);
    t16 = *((int *)t21);
    t19 = (t16 - 1);
    t17 = (t4 + 4U);
    t31 = *((int *)t17);
    t22 = (t4 + 8U);
    t75 = *((int *)t22);
    xsi_vhdl_check_range_of_slice(t10, t31, t75, t11, t19, 1);
    t12 = (t9 * 1U);
    t15 = (0 + t12);
    t23 = (t3 + t15);
    t24 = (t18 + 56U);
    t25 = *((char **)t24);
    t24 = (t13 + 0U);
    t77 = *((int *)t24);
    t20 = (1 - t77);
    t26 = (t43 + 56U);
    t27 = *((char **)t26);
    t79 = *((int *)t27);
    t26 = (t13 + 4U);
    t82 = *((int *)t26);
    t28 = (t13 + 8U);
    t84 = *((int *)t28);
    xsi_vhdl_check_range_of_slice(t77, t82, t84, 1, t79, 1);
    t33 = (t20 * 1U);
    t34 = (0 + t33);
    t29 = (t25 + t34);
    t30 = (t4 + 0U);
    t86 = *((int *)t30);
    t32 = (t37 + 56U);
    t38 = *((char **)t32);
    t87 = *((int *)t38);
    t88 = (t87 - 1);
    t89 = (t88 - t86);
    t35 = (t89 * 1);
    t35 = (t35 + 1);
    t36 = (1U * t35);
    memcpy(t29, t23, t36);
    t8 = ((STD_STANDARD) + 384);
    t14 = xsi_int_to_mem(t5);
    t17 = xsi_string_variable_get_image(t85, t8, t14);
    t22 = ((STD_STANDARD) + 1008);
    t21 = xsi_base_array_concat(t21, t90, t22, (char)99, (unsigned char)95, (char)97, t17, t85, (char)101);
    t23 = (t18 + 56U);
    t24 = *((char **)t23);
    t23 = (t13 + 0U);
    t10 = *((int *)t23);
    t25 = (t43 + 56U);
    t26 = *((char **)t25);
    t11 = *((int *)t26);
    t16 = (t11 + 1);
    t9 = (t16 - t10);
    t25 = (t43 + 56U);
    t27 = *((char **)t25);
    t19 = *((int *)t27);
    t25 = (t55 + 56U);
    t28 = *((char **)t25);
    t31 = *((int *)t28);
    t75 = (t19 + t31);
    t25 = (t13 + 4U);
    t77 = *((int *)t25);
    t29 = (t13 + 8U);
    t79 = *((int *)t29);
    xsi_vhdl_check_range_of_slice(t10, t77, t79, t16, t75, 1);
    t12 = (t9 * 1U);
    t15 = (0 + t12);
    t30 = (t24 + t15);
    t32 = (t85 + 12U);
    t20 = *((unsigned int *)t32);
    t33 = (1U + t20);
    memcpy(t30, t21, t33);
    t8 = (t4 + 0U);
    t10 = *((int *)t8);
    t14 = (t37 + 56U);
    t17 = *((char **)t14);
    t11 = *((int *)t17);
    t9 = (t11 - t10);
    t14 = (t4 + 4U);
    t16 = *((int *)t14);
    t21 = (t4 + 4U);
    t19 = *((int *)t21);
    t22 = (t4 + 8U);
    t31 = *((int *)t22);
    xsi_vhdl_check_range_of_slice(t10, t19, t31, t11, t16, 1);
    t12 = (t9 * 1U);
    t15 = (0 + t12);
    t23 = (t3 + t15);
    t24 = (t18 + 56U);
    t25 = *((char **)t24);
    t24 = (t13 + 0U);
    t75 = *((int *)t24);
    t26 = (t43 + 56U);
    t27 = *((char **)t26);
    t77 = *((int *)t27);
    t26 = (t55 + 56U);
    t28 = *((char **)t26);
    t79 = *((int *)t28);
    t82 = (t77 + t79);
    t84 = (t82 + 1);
    t20 = (t84 - t75);
    t26 = (t61 + 56U);
    t29 = *((char **)t26);
    t86 = *((int *)t29);
    t26 = (t13 + 4U);
    t87 = *((int *)t26);
    t30 = (t13 + 8U);
    t88 = *((int *)t30);
    xsi_vhdl_check_range_of_slice(t75, t87, t88, t84, t86, 1);
    t33 = (t20 * 1U);
    t34 = (0 + t33);
    t32 = (t25 + t34);
    t38 = (t37 + 56U);
    t39 = *((char **)t38);
    t89 = *((int *)t39);
    t38 = (t4 + 4U);
    t91 = *((int *)t38);
    t92 = (t91 - t89);
    t35 = (t92 * 1);
    t35 = (t35 + 1);
    t36 = (1U * t35);
    memcpy(t32, t23, t36);
    t8 = (t18 + 56U);
    t14 = *((char **)t8);
    t8 = (t13 + 0U);
    t10 = *((int *)t8);
    t9 = (1 - t10);
    t17 = (t61 + 56U);
    t21 = *((char **)t17);
    t11 = *((int *)t21);
    t17 = (t13 + 4U);
    t16 = *((int *)t17);
    t22 = (t13 + 8U);
    t19 = *((int *)t22);
    xsi_vhdl_check_range_of_slice(t10, t16, t19, 1, t11, 1);
    t12 = (t9 * 1U);
    t15 = (0 + t12);
    t23 = (t14 + t15);
    t24 = (t61 + 56U);
    t25 = *((char **)t24);
    t31 = *((int *)t25);
    t75 = (t31 - 1);
    t20 = (t75 * 1);
    t20 = (t20 + 1);
    t33 = (1U * t20);
    t0 = xsi_get_transient_memory(t33);
    memcpy(t0, t23, t33);
    t24 = (t61 + 56U);
    t26 = *((char **)t24);
    t77 = *((int *)t26);
    t24 = (t2 + 0U);
    t27 = (t24 + 0U);
    *((int *)t27) = 1;
    t27 = (t24 + 4U);
    *((int *)t27) = t77;
    t27 = (t24 + 8U);
    *((int *)t27) = 1;
    t79 = (t77 - 1);
    t34 = (t79 * 1);
    t34 = (t34 + 1);
    t27 = (t24 + 12U);
    *((unsigned int *)t27) = t34;
    goto LAB1;

LAB20:    goto LAB8;

LAB22:    goto LAB20;

LAB23:    goto LAB20;

}
void microblaze_v8_20_b_a_1988833915_3306564128_sub_581132500_229454594(char *t0, char *t1, char *t2, unsigned int t3, unsigned int t4, char *t5, char *t6, char *t7, unsigned int t8, unsigned int t9, char *t10)
{
    char *t13;
    unsigned int t14;
    unsigned char t15;
    char *t16;
    unsigned int t17;
    unsigned int t18;
    unsigned int t19;
    char *t20;
    char *t21;
    int t22;
    int t23;
    char *t24;
    int t25;
    char *t26;
    int t27;
    char *t28;
    int t29;
    unsigned char t30;
    int t31;
    unsigned char t32;
    char *t33;
    char *t34;
    int t35;
    char *t36;
    int t37;
    char *t38;
    int t39;
    int t40;
    unsigned int t41;
    unsigned int t42;
    unsigned int t43;
    char *t44;
    unsigned char t45;
    char *t46;
    int t47;
    char *t48;
    int t49;
    char *t50;
    int t51;
    unsigned int t52;
    int t53;
    unsigned int t54;
    unsigned int t55;
    char *t56;
    char *t57;
    unsigned int t58;
    unsigned int t59;
    unsigned int t60;
    char *t61;
    char *t62;
    char *t63;
    char *t64;
    char *t65;
    unsigned int t66;
    char *t67;
    unsigned int t68;
    unsigned int t69;
    int t70;
    int t71;
    int t72;
    int t73;
    int t74;
    unsigned int t75;

LAB0:    t13 = (t10 + 12U);
    t14 = *((unsigned int *)t13);
    t15 = (t14 == 1);
    if (t15 != 0)
        goto LAB2;

LAB4:    t13 = (t10 + 12U);
    t14 = *((unsigned int *)t13);
    t16 = (t6 + 12U);
    t17 = *((unsigned int *)t16);
    t15 = (t14 > t17);
    if (t15 != 0)
        goto LAB14;

LAB15:    t13 = (t6 + 12U);
    t14 = *((unsigned int *)t13);
    t17 = (1 * t14);
    t18 = (0U + t17);
    t16 = xsi_get_transient_memory(t18);
    memset(t16, 0, t18);
    t20 = t16;
    t21 = (t6 + 0U);
    t25 = *((int *)t21);
    t24 = (t6 + 4U);
    t27 = *((int *)t24);
    t26 = (t6 + 8U);
    t29 = *((int *)t26);
    t15 = (t29 == 1);
    if (t15 == 1)
        goto LAB18;

LAB19:    t22 = t27;
    t23 = t25;

LAB20:    t30 = (1 == 1);
    if (t30 == 1)
        goto LAB21;

LAB22:    t31 = t23;

LAB23:    t28 = (t6 + 0U);
    t35 = *((int *)t28);
    t33 = (t6 + 4U);
    t37 = *((int *)t33);
    t34 = (t6 + 8U);
    t39 = *((int *)t34);
    if (t39 == 1)
        goto LAB24;

LAB25:    t19 = t37;

LAB26:    t40 = (t19 - t31);
    t41 = (t40 * 1);
    t42 = (1U * t41);
    t36 = (t20 + t42);
    t38 = (t6 + 12U);
    t43 = *((unsigned int *)t38);
    t52 = (1U * t43);
    memset(t36, (unsigned char)2, t52);
    t54 = (0 + t3);
    t44 = (t5 + 56U);
    t46 = *((char **)t44);
    t48 = (t46 + 56U);
    t50 = *((char **)t48);
    t56 = (t6 + 12U);
    t55 = *((unsigned int *)t56);
    t55 = (t55 * 1U);
    memcpy(t50, t16, t55);
    t57 = (t6 + 12U);
    t58 = *((unsigned int *)t57);
    t59 = (1U * t58);
    xsi_driver_first_trans_delta(t5, t54, t59, 0LL);
    t13 = (t7 + 40U);
    t16 = *((char **)t13);
    t13 = (t16 + t9);
    t16 = (t6 + 0U);
    t22 = *((int *)t16);
    t20 = (t6 + 0U);
    t23 = *((int *)t20);
    t21 = (t10 + 12U);
    t14 = *((unsigned int *)t21);
    t25 = (t23 + t14);
    t27 = (t25 - 1);
    t29 = (t27 - t22);
    t17 = (t29 * 1);
    t17 = (t17 + 1);
    t18 = (1U * t17);
    t24 = (t10 + 12U);
    t19 = *((unsigned int *)t24);
    t19 = (t19 * 1U);
    t15 = (t18 != t19);
    if (t15 == 1)
        goto LAB27;

LAB28:    t26 = (t6 + 0U);
    t31 = *((int *)t26);
    t28 = (t6 + 0U);
    t35 = *((int *)t28);
    t41 = (t35 - t31);
    t42 = (1U * t41);
    t43 = (0 + t42);
    t33 = (t6 + 0U);
    t37 = *((int *)t33);
    t34 = (t6 + 0U);
    t39 = *((int *)t34);
    t52 = (t39 - t37);
    t54 = (1U * t52);
    t55 = (0 + t54);
    t58 = (t55 + t3);
    t36 = (t5 + 56U);
    t38 = *((char **)t36);
    t44 = (t38 + 56U);
    t46 = *((char **)t44);
    t48 = (t6 + 0U);
    t40 = *((int *)t48);
    t50 = (t6 + 0U);
    t47 = *((int *)t50);
    t56 = (t10 + 12U);
    t59 = *((unsigned int *)t56);
    t49 = (t47 + t59);
    t51 = (t49 - 1);
    t53 = (t51 - t40);
    t60 = (t53 * 1);
    t60 = (t60 + 1);
    t66 = (1U * t60);
    memcpy(t46, t13, t66);
    t57 = (t6 + 0U);
    t70 = *((int *)t57);
    t61 = (t6 + 0U);
    t71 = *((int *)t61);
    t62 = (t10 + 12U);
    t68 = *((unsigned int *)t62);
    t72 = (t71 + t68);
    t73 = (t72 - 1);
    t74 = (t73 - t70);
    t69 = (t74 * 1);
    t69 = (t69 + 1);
    t75 = (1U * t69);
    xsi_driver_first_trans_delta(t5, t58, t75, 0LL);

LAB3:
LAB1:    return;
LAB2:    t16 = (t6 + 12U);
    t17 = *((unsigned int *)t16);
    t18 = (1U * t17);
    t19 = (0U + t18);
    t20 = xsi_get_transient_memory(t19);
    memset(t20, 0, t19);
    t21 = t20;
    t24 = (t6 + 0U);
    t25 = *((int *)t24);
    t26 = (t6 + 4U);
    t27 = *((int *)t26);
    t28 = (t6 + 8U);
    t29 = *((int *)t28);
    t30 = (t29 == 1);
    if (t30 == 1)
        goto LAB5;

LAB6:    t22 = t27;
    t23 = t25;

LAB7:    t32 = (1 == 1);
    if (t32 == 1)
        goto LAB8;

LAB9:    t31 = t23;

LAB10:    t33 = (t7 + 40U);
    t34 = *((char **)t33);
    t33 = (t34 + t9);
    t34 = (t10 + 0U);
    t35 = *((int *)t34);
    t36 = (t10 + 0U);
    t37 = *((int *)t36);
    t38 = (t10 + 8U);
    t39 = *((int *)t38);
    t40 = (t35 - t37);
    t41 = (t40 * t39);
    t42 = (1U * t41);
    t43 = (0 + t42);
    t44 = (t33 + t43);
    t45 = *((unsigned char *)t44);
    t46 = (t6 + 0U);
    t47 = *((int *)t46);
    t48 = (t6 + 4U);
    t49 = *((int *)t48);
    t50 = (t6 + 8U);
    t51 = *((int *)t50);
    if (t51 == 1)
        goto LAB11;

LAB12:    t52 = t49;

LAB13:    t53 = (t52 - t31);
    t54 = (t53 * 1);
    t55 = (1U * t54);
    t56 = (t21 + t55);
    t57 = (t6 + 12U);
    t58 = *((unsigned int *)t57);
    t59 = (1U * t58);
    memset(t56, t45, t59);
    t60 = (0 + t3);
    t61 = (t5 + 56U);
    t62 = *((char **)t61);
    t63 = (t62 + 56U);
    t64 = *((char **)t63);
    t65 = (t6 + 12U);
    t66 = *((unsigned int *)t65);
    t66 = (t66 * 1U);
    memcpy(t64, t20, t66);
    t67 = (t6 + 12U);
    t68 = *((unsigned int *)t67);
    t69 = (1U * t68);
    xsi_driver_first_trans_delta(t5, t60, t69, 0LL);
    goto LAB3;

LAB5:    t22 = t25;
    t23 = t27;
    goto LAB7;

LAB8:    t31 = t22;
    goto LAB10;

LAB11:    t52 = t47;
    goto LAB13;

LAB14:    t20 = (t7 + 40U);
    t21 = *((char **)t20);
    t20 = (t21 + t9);
    t21 = (t10 + 0U);
    t22 = *((int *)t21);
    t24 = (t10 + 0U);
    t23 = *((int *)t24);
    t18 = (t23 - t22);
    t26 = (t10 + 0U);
    t25 = *((int *)t26);
    t28 = (t6 + 12U);
    t19 = *((unsigned int *)t28);
    t27 = (t25 + t19);
    t29 = (t27 - 1);
    t33 = (t10 + 4U);
    t31 = *((int *)t33);
    t34 = (t10 + 8U);
    t35 = *((int *)t34);
    xsi_vhdl_check_range_of_slice(t22, t31, t35, t23, t29, 1);
    t41 = (t18 * 1U);
    t42 = (0 + t41);
    t36 = (t20 + t42);
    t38 = (t6 + 12U);
    t43 = *((unsigned int *)t38);
    t43 = (t43 * 1U);
    t44 = (t10 + 0U);
    t37 = *((int *)t44);
    t46 = (t10 + 0U);
    t39 = *((int *)t46);
    t48 = (t6 + 12U);
    t52 = *((unsigned int *)t48);
    t40 = (t39 + t52);
    t47 = (t40 - 1);
    t49 = (t47 - t37);
    t54 = (t49 * 1);
    t54 = (t54 + 1);
    t55 = (1U * t54);
    t30 = (t43 != t55);
    if (t30 == 1)
        goto LAB16;

LAB17:    t58 = (0 + t3);
    t50 = (t5 + 56U);
    t56 = *((char **)t50);
    t57 = (t56 + 56U);
    t61 = *((char **)t57);
    t62 = (t6 + 12U);
    t59 = *((unsigned int *)t62);
    t59 = (t59 * 1U);
    memcpy(t61, t36, t59);
    t63 = (t6 + 12U);
    t60 = *((unsigned int *)t63);
    t66 = (1U * t60);
    xsi_driver_first_trans_delta(t5, t58, t66, 0LL);
    goto LAB3;

LAB16:    xsi_size_not_matching(t43, t55, 0);
    goto LAB17;

LAB18:    t22 = t25;
    t23 = t27;
    goto LAB20;

LAB21:    t31 = t22;
    goto LAB23;

LAB24:    t19 = t35;
    goto LAB26;

LAB27:    xsi_size_not_matching(t18, t19, 0);
    goto LAB28;

}
Exemplo n.º 3
0
char *work_p_1962920550_sub_13920297062405826100_3538083707(char *t1, char *t2, char *t3, char *t4)
{
    char t6[24];
    char t31[16];
    char t33[16];
    char t38[16];
    char t50[16];
    char t52[16];
    char t66[16];
    char t68[16];
    char *t0;
    char *t7;
    unsigned char t8;
    char *t9;
    char *t10;
    int t11;
    unsigned int t12;
    char *t13;
    int t14;
    char *t15;
    int t16;
    unsigned int t17;
    unsigned int t18;
    char *t19;
    char *t20;
    int t21;
    unsigned int t22;
    char *t23;
    int t24;
    char *t25;
    int t26;
    unsigned int t27;
    unsigned int t28;
    char *t29;
    char *t30;
    char *t32;
    char *t34;
    char *t35;
    int t36;
    unsigned int t37;
    char *t39;
    int t40;
    int t41;
    char *t42;
    int t43;
    char *t44;
    int t45;
    unsigned int t46;
    unsigned int t47;
    char *t48;
    char *t49;
    char *t51;
    char *t53;
    char *t54;
    int t55;
    unsigned int t56;
    int t57;
    char *t58;
    int t59;
    char *t60;
    int t61;
    unsigned int t62;
    unsigned int t63;
    char *t64;
    char *t65;
    char *t67;
    char *t69;
    char *t70;
    int t71;
    unsigned int t72;
    int t73;
    unsigned int t74;
    int t75;
    unsigned int t76;
    unsigned int t77;
    unsigned int t78;
    int t79;
    unsigned int t80;
    unsigned int t81;
    unsigned int t82;
    int t83;
    unsigned int t84;
    unsigned int t85;
    unsigned int t86;
    int t87;
    char *t88;
    int t89;
    char *t90;
    int t91;
    char *t92;
    char *t93;
    int t94;
    unsigned int t95;

LAB0:    t7 = (t6 + 4U);
    t8 = (t3 != 0);
    if (t8 == 1)
        goto LAB3;

LAB2:    t9 = (t6 + 12U);
    *((char **)t9) = t4;
    t10 = (t4 + 0U);
    t11 = *((int *)t10);
    t12 = (t11 - 7);
    t13 = (t4 + 4U);
    t14 = *((int *)t13);
    t15 = (t4 + 8U);
    t16 = *((int *)t15);
    xsi_vhdl_check_range_of_slice(t11, t14, t16, 7, 0, -1);
    t17 = (t12 * 1U);
    t18 = (0 + t17);
    t19 = (t3 + t18);
    t20 = (t4 + 0U);
    t21 = *((int *)t20);
    t22 = (t21 - 15);
    t23 = (t4 + 4U);
    t24 = *((int *)t23);
    t25 = (t4 + 8U);
    t26 = *((int *)t25);
    xsi_vhdl_check_range_of_slice(t21, t24, t26, 15, 8, -1);
    t27 = (t22 * 1U);
    t28 = (0 + t27);
    t29 = (t3 + t28);
    t32 = ((IEEE_P_2592010699) + 4000);
    t34 = (t33 + 0U);
    t35 = (t34 + 0U);
    *((int *)t35) = 7;
    t35 = (t34 + 4U);
    *((int *)t35) = 0;
    t35 = (t34 + 8U);
    *((int *)t35) = -1;
    t36 = (0 - 7);
    t37 = (t36 * -1);
    t37 = (t37 + 1);
    t35 = (t34 + 12U);
    *((unsigned int *)t35) = t37;
    t35 = (t38 + 0U);
    t39 = (t35 + 0U);
    *((int *)t39) = 15;
    t39 = (t35 + 4U);
    *((int *)t39) = 8;
    t39 = (t35 + 8U);
    *((int *)t39) = -1;
    t40 = (8 - 15);
    t37 = (t40 * -1);
    t37 = (t37 + 1);
    t39 = (t35 + 12U);
    *((unsigned int *)t39) = t37;
    t30 = xsi_base_array_concat(t30, t31, t32, (char)97, t19, t33, (char)97, t29, t38, (char)101);
    t39 = (t4 + 0U);
    t41 = *((int *)t39);
    t37 = (t41 - 23);
    t42 = (t4 + 4U);
    t43 = *((int *)t42);
    t44 = (t4 + 8U);
    t45 = *((int *)t44);
    xsi_vhdl_check_range_of_slice(t41, t43, t45, 23, 16, -1);
    t46 = (t37 * 1U);
    t47 = (0 + t46);
    t48 = (t3 + t47);
    t51 = ((IEEE_P_2592010699) + 4000);
    t53 = (t52 + 0U);
    t54 = (t53 + 0U);
    *((int *)t54) = 23;
    t54 = (t53 + 4U);
    *((int *)t54) = 16;
    t54 = (t53 + 8U);
    *((int *)t54) = -1;
    t55 = (16 - 23);
    t56 = (t55 * -1);
    t56 = (t56 + 1);
    t54 = (t53 + 12U);
    *((unsigned int *)t54) = t56;
    t49 = xsi_base_array_concat(t49, t50, t51, (char)97, t30, t31, (char)97, t48, t52, (char)101);
    t54 = (t4 + 0U);
    t57 = *((int *)t54);
    t56 = (t57 - 31);
    t58 = (t4 + 4U);
    t59 = *((int *)t58);
    t60 = (t4 + 8U);
    t61 = *((int *)t60);
    xsi_vhdl_check_range_of_slice(t57, t59, t61, 31, 24, -1);
    t62 = (t56 * 1U);
    t63 = (0 + t62);
    t64 = (t3 + t63);
    t67 = ((IEEE_P_2592010699) + 4000);
    t69 = (t68 + 0U);
    t70 = (t69 + 0U);
    *((int *)t70) = 31;
    t70 = (t69 + 4U);
    *((int *)t70) = 24;
    t70 = (t69 + 8U);
    *((int *)t70) = -1;
    t71 = (24 - 31);
    t72 = (t71 * -1);
    t72 = (t72 + 1);
    t70 = (t69 + 12U);
    *((unsigned int *)t70) = t72;
    t65 = xsi_base_array_concat(t65, t66, t67, (char)97, t49, t50, (char)97, t64, t68, (char)101);
    t73 = (0 - 7);
    t72 = (t73 * -1);
    t72 = (t72 + 1);
    t74 = (1U * t72);
    t75 = (8 - 15);
    t76 = (t75 * -1);
    t76 = (t76 + 1);
    t77 = (1U * t76);
    t78 = (t74 + t77);
    t79 = (16 - 23);
    t80 = (t79 * -1);
    t80 = (t80 + 1);
    t81 = (1U * t80);
    t82 = (t78 + t81);
    t83 = (24 - 31);
    t84 = (t83 * -1);
    t84 = (t84 + 1);
    t85 = (1U * t84);
    t86 = (t82 + t85);
    t0 = xsi_get_transient_memory(t86);
    memcpy(t0, t65, t86);
    t70 = (t66 + 0U);
    t87 = *((int *)t70);
    t88 = (t66 + 4U);
    t89 = *((int *)t88);
    t90 = (t66 + 8U);
    t91 = *((int *)t90);
    t92 = (t2 + 0U);
    t93 = (t92 + 0U);
    *((int *)t93) = t87;
    t93 = (t92 + 4U);
    *((int *)t93) = t89;
    t93 = (t92 + 8U);
    *((int *)t93) = t91;
    t94 = (t89 - t87);
    t95 = (t94 * t91);
    t95 = (t95 + 1);
    t93 = (t92 + 12U);
    *((unsigned int *)t93) = t95;

LAB1:    return t0;
LAB3:    *((char **)t7) = t3;
    goto LAB2;

LAB4:;
}
char *proc_common_v3_00_a_a_1835494415_3212880686_sub_5707007709006573195_3057020925(char *t1, char *t2, int t3, int t4, char *t5, char *t6)
{
    char t7[368];
    char t8[32];
    char t13[16];
    char t29[8];
    char t35[8];
    char *t0;
    int t9;
    int t10;
    int t11;
    unsigned int t12;
    int t14;
    int t15;
    char *t16;
    char *t17;
    int t18;
    unsigned int t19;
    char *t20;
    char *t21;
    char *t22;
    char *t23;
    char *t24;
    char *t25;
    char *t26;
    char *t27;
    char *t28;
    char *t30;
    char *t31;
    char *t32;
    char *t33;
    char *t34;
    char *t36;
    char *t37;
    char *t38;
    char *t39;
    char *t40;
    unsigned char t41;
    char *t42;
    unsigned char t43;
    unsigned int t44;
    int t45;
    unsigned int t46;
    int t47;
    int t48;
    int t49;
    int t50;
    int t51;
    int t52;
    unsigned int t53;
    unsigned int t54;
    char *t55;
    int t56;
    int t57;
    int t58;
    unsigned int t59;
    unsigned int t60;

LAB0:    t9 = (t3 * t4);
    t10 = (t9 - 1);
    t11 = (t10 - 0);
    t12 = (t11 * 1);
    t12 = (t12 + 1);
    t12 = (t12 * 1U);
    t14 = (t3 * t4);
    t15 = (t14 - 1);
    t16 = (t13 + 0U);
    t17 = (t16 + 0U);
    *((int *)t17) = 0;
    t17 = (t16 + 4U);
    *((int *)t17) = t15;
    t17 = (t16 + 8U);
    *((int *)t17) = 1;
    t18 = (t15 - 0);
    t19 = (t18 * 1);
    t19 = (t19 + 1);
    t17 = (t16 + 12U);
    *((unsigned int *)t17) = t19;
    t17 = (t7 + 4U);
    t20 = ((STD_STANDARD) + 1080);
    t21 = (t17 + 88U);
    *((char **)t21) = t20;
    t22 = (char *)alloca(t12);
    t23 = (t17 + 56U);
    *((char **)t23) = t22;
    xsi_type_set_default_value(t20, t22, t13);
    t24 = (t17 + 64U);
    *((char **)t24) = t13;
    t25 = (t17 + 80U);
    *((unsigned int *)t25) = t12;
    t26 = (t7 + 124U);
    t27 = ((STD_STANDARD) + 824);
    t28 = (t26 + 88U);
    *((char **)t28) = t27;
    t30 = (t26 + 56U);
    *((char **)t30) = t29;
    xsi_type_set_default_value(t27, t29, 0);
    t31 = (t26 + 80U);
    *((unsigned int *)t31) = 4U;
    t32 = (t7 + 244U);
    t33 = ((STD_STANDARD) + 824);
    t34 = (t32 + 88U);
    *((char **)t34) = t33;
    t36 = (t32 + 56U);
    *((char **)t36) = t35;
    xsi_type_set_default_value(t33, t35, 0);
    t37 = (t32 + 80U);
    *((unsigned int *)t37) = 4U;
    t38 = (t8 + 4U);
    *((int *)t38) = t3;
    t39 = (t8 + 8U);
    *((int *)t39) = t4;
    t40 = (t8 + 12U);
    t41 = (t5 != 0);
    if (t41 == 1)
        goto LAB3;

LAB2:    t42 = (t8 + 20U);
    *((char **)t42) = t6;
    t43 = (t3 == 0);
    if (t43 != 0)
        goto LAB4;

LAB6:    t16 = (t6 + 12U);
    t12 = *((unsigned int *)t16);
    t41 = (t12 == 0);
    if (t41 != 0)
        goto LAB7;

LAB8:    t16 = (t26 + 56U);
    t20 = *((char **)t16);
    t16 = (t20 + 0);
    *((int *)t16) = 0;

LAB9:    t16 = (t26 + 56U);
    t20 = *((char **)t16);
    t9 = *((int *)t20);
    t16 = (t13 + 12U);
    t12 = *((unsigned int *)t16);
    t41 = (t9 != t12);
    if (t41 != 0)
        goto LAB10;

LAB12:
LAB5:    t16 = (t17 + 56U);
    t20 = *((char **)t16);
    t16 = (t13 + 12U);
    t12 = *((unsigned int *)t16);
    t12 = (t12 * 1U);
    t0 = xsi_get_transient_memory(t12);
    memcpy(t0, t20, t12);
    t21 = (t13 + 0U);
    t9 = *((int *)t21);
    t23 = (t13 + 4U);
    t10 = *((int *)t23);
    t24 = (t13 + 8U);
    t11 = *((int *)t24);
    t25 = (t2 + 0U);
    t27 = (t25 + 0U);
    *((int *)t27) = t9;
    t27 = (t25 + 4U);
    *((int *)t27) = t10;
    t27 = (t25 + 8U);
    *((int *)t27) = t11;
    t14 = (t10 - t9);
    t19 = (t14 * t11);
    t19 = (t19 + 1);
    t27 = (t25 + 12U);
    *((unsigned int *)t27) = t19;

LAB1:    return t0;
LAB3:    *((char **)t40) = t5;
    goto LAB2;

LAB4:    goto LAB5;

LAB7:    t20 = (t13 + 12U);
    t19 = *((unsigned int *)t20);
    t19 = (t19 * 1U);
    t21 = xsi_get_transient_memory(t19);
    memset(t21, 0, t19);
    t23 = t21;
    memset(t23, (unsigned char)0, t19);
    t24 = (t17 + 56U);
    t25 = *((char **)t24);
    t24 = (t25 + 0);
    t27 = (t13 + 12U);
    t44 = *((unsigned int *)t27);
    t44 = (t44 * 1U);
    memcpy(t24, t21, t44);
    goto LAB5;

LAB10:    t21 = (t6 + 12U);
    t19 = *((unsigned int *)t21);
    t23 = (t13 + 12U);
    t44 = *((unsigned int *)t23);
    t24 = (t26 + 56U);
    t25 = *((char **)t24);
    t10 = *((int *)t25);
    t11 = (t44 - t10);
    t14 = proc_common_v3_00_a_a_1835494415_3212880686_sub_1582887260042056650_3057020925(t1, ((t19)), t11);
    t24 = (t32 + 56U);
    t27 = *((char **)t24);
    t24 = (t27 + 0);
    *((int *)t24) = t14;
    t16 = (t6 + 0U);
    t9 = *((int *)t16);
    t12 = (0 - t9);
    t20 = (t32 + 56U);
    t21 = *((char **)t20);
    t10 = *((int *)t21);
    t11 = (t10 - 1);
    t20 = (t6 + 4U);
    t14 = *((int *)t20);
    t23 = (t6 + 8U);
    t15 = *((int *)t23);
    xsi_vhdl_check_range_of_slice(t9, t14, t15, 0, t11, 1);
    t19 = (t12 * 1U);
    t44 = (0 + t19);
    t24 = (t5 + t44);
    t25 = (t17 + 56U);
    t27 = *((char **)t25);
    t25 = (t13 + 0U);
    t18 = *((int *)t25);
    t28 = (t26 + 56U);
    t30 = *((char **)t28);
    t45 = *((int *)t30);
    t46 = (t45 - t18);
    t28 = (t26 + 56U);
    t31 = *((char **)t28);
    t47 = *((int *)t31);
    t28 = (t32 + 56U);
    t33 = *((char **)t28);
    t48 = *((int *)t33);
    t49 = (t47 + t48);
    t50 = (t49 - 1);
    t28 = (t13 + 4U);
    t51 = *((int *)t28);
    t34 = (t13 + 8U);
    t52 = *((int *)t34);
    xsi_vhdl_check_range_of_slice(t18, t51, t52, t45, t50, 1);
    t53 = (t46 * 1U);
    t54 = (0 + t53);
    t36 = (t27 + t54);
    t37 = (t32 + 56U);
    t55 = *((char **)t37);
    t56 = *((int *)t55);
    t57 = (t56 - 1);
    t58 = (t57 - 0);
    t59 = (t58 * 1);
    t59 = (t59 + 1);
    t60 = (1U * t59);
    memcpy(t36, t24, t60);
    t16 = (t26 + 56U);
    t20 = *((char **)t16);
    t9 = *((int *)t20);
    t16 = (t32 + 56U);
    t21 = *((char **)t16);
    t10 = *((int *)t21);
    t11 = (t9 + t10);
    t16 = (t26 + 56U);
    t23 = *((char **)t16);
    t16 = (t23 + 0);
    *((int *)t16) = t11;
    goto LAB9;

LAB11:;
LAB13:;
}
static void work_a_0961210505_1516540902_p_3(char *t0)
{
    char *t1;
    char *t2;
    char *t3;
    char *t4;
    char *t5;
    char *t6;
    char *t7;
    unsigned char t8;
    unsigned char t9;
    int t10;
    int t11;
    unsigned int t12;
    unsigned int t13;
    unsigned int t14;
    unsigned int t15;
    unsigned char t16;
    unsigned char t17;
    int t18;
    int t19;
    unsigned int t20;
    unsigned int t21;
    unsigned int t22;
    unsigned int t23;
    unsigned char t24;
    unsigned char t25;
    unsigned char t26;
    unsigned char t27;
    char *t28;
    char *t29;
    char *t30;
    int t31;
    int t32;
    int t33;
    int t34;
    char *t35;
    int t36;
    int t37;
    unsigned int t38;
    unsigned int t39;
    unsigned int t40;
    char *t41;
    char *t42;
    int t43;
    int t44;
    int t45;
    unsigned int t46;
    unsigned int t47;
    char *t48;
    char *t49;
    int t50;
    int t51;
    unsigned int t52;
    unsigned int t53;
    unsigned int t54;
    char *t55;
    char *t56;
    int t57;
    int t58;
    int t59;
    unsigned int t60;
    unsigned int t61;
    unsigned int t62;
    char *t63;
    char *t64;
    char *t65;
    char *t66;
    unsigned char t67;
    unsigned char t68;
    int t69;
    int t70;
    unsigned int t71;
    unsigned int t72;
    unsigned int t73;
    char *t74;
    char *t75;
    char *t76;
    char *t77;
    char *t78;

LAB0:    xsi_set_current_line(91, ng0);
    t1 = xsi_get_transient_memory(3U);
    memset(t1, 0, 3U);
    t2 = t1;
    memset(t2, (unsigned char)2, 3U);
    t3 = (t0 + 3152);
    t4 = (t3 + 32U);
    t5 = *((char **)t4);
    t6 = (t5 + 40U);
    t7 = *((char **)t6);
    memcpy(t7, t1, 3U);
    xsi_driver_first_trans_fast_port(t3);
    xsi_set_current_line(92, ng0);
    t1 = (t0 + 776U);
    t2 = *((char **)t1);
    if (2 > 0)
        goto LAB11;

LAB12:    if (-1 == -1)
        goto LAB16;

LAB17:    t10 = 2;

LAB13:    t11 = (t10 - 2);
    t12 = (t11 * -1);
    xsi_vhdl_check_range_of_index(2, 0, -1, t10);
    t13 = (32U * t12);
    t14 = (0 + t13);
    t15 = (t14 + 24U);
    t1 = (t2 + t15);
    t16 = *((unsigned char *)t1);
    t17 = (t16 == (unsigned char)3);
    if (t17 == 1)
        goto LAB8;

LAB9:    t3 = (t0 + 776U);
    t4 = *((char **)t3);
    if (2 > 0)
        goto LAB18;

LAB19:    if (-1 == -1)
        goto LAB23;

LAB24:    t18 = 2;

LAB20:    t19 = (t18 - 2);
    t20 = (t19 * -1);
    xsi_vhdl_check_range_of_index(2, 0, -1, t18);
    t21 = (32U * t20);
    t22 = (0 + t21);
    t23 = (t22 + 25U);
    t3 = (t4 + t23);
    t24 = *((unsigned char *)t3);
    t25 = (t24 == (unsigned char)3);
    t9 = t25;

LAB10:    if (t9 == 1)
        goto LAB5;

LAB6:    t8 = (unsigned char)0;

LAB7:    if (t8 != 0)
        goto LAB2;

LAB4:
LAB3:    xsi_set_current_line(95, ng0);
    t1 = ((WORK_P_4001478723) + 672U);
    t2 = *((char **)t1);
    t10 = *((int *)t2);
    t11 = (t10 - 1);
    t1 = (t0 + 5846);
    *((int *)t1) = 1;
    t3 = (t0 + 5850);
    *((int *)t3) = t11;
    t18 = 1;
    t19 = t11;

LAB25:    if (t18 <= t19)
        goto LAB26;

LAB28:    t1 = (t0 + 2892);
    *((int *)t1) = 1;

LAB1:    return;
LAB2:    xsi_set_current_line(93, ng0);
    t5 = (t0 + 3152);
    t7 = (t5 + 32U);
    t28 = *((char **)t7);
    t29 = (t28 + 40U);
    t30 = *((char **)t29);
    *((unsigned char *)t30) = (unsigned char)3;
    xsi_driver_first_trans_delta(t5, 2U, 1, 0LL);
    goto LAB3;

LAB5:    t5 = (t0 + 1328U);
    t6 = *((char **)t5);
    t26 = *((unsigned char *)t6);
    t27 = (t26 == (unsigned char)3);
    t8 = t27;
    goto LAB7;

LAB8:    t9 = (unsigned char)1;
    goto LAB10;

LAB11:    if (-1 == 1)
        goto LAB14;

LAB15:    t10 = 0;
    goto LAB13;

LAB14:    t10 = 2;
    goto LAB13;

LAB16:    t10 = 0;
    goto LAB13;

LAB18:    if (-1 == 1)
        goto LAB21;

LAB22:    t18 = 0;
    goto LAB20;

LAB21:    t18 = 2;
    goto LAB20;

LAB23:    t18 = 0;
    goto LAB20;

LAB26:    xsi_set_current_line(96, ng0);
    t4 = (t0 + 776U);
    t5 = *((char **)t4);
    t4 = (t0 + 5846);
    t31 = *((int *)t4);
    t32 = (t31 - 2);
    t12 = (t32 * -1);
    xsi_vhdl_check_range_of_index(2, 0, -1, *((int *)t4));
    t13 = (32U * t12);
    t14 = (0 + t13);
    t15 = (t14 + 24U);
    t6 = (t5 + t15);
    t16 = *((unsigned char *)t6);
    t17 = (t16 == (unsigned char)3);
    if (t17 == 1)
        goto LAB35;

LAB36:    t7 = (t0 + 776U);
    t28 = *((char **)t7);
    t7 = (t0 + 5846);
    t33 = *((int *)t7);
    t34 = (t33 - 2);
    t20 = (t34 * -1);
    xsi_vhdl_check_range_of_index(2, 0, -1, *((int *)t7));
    t21 = (32U * t20);
    t22 = (0 + t21);
    t23 = (t22 + 25U);
    t29 = (t28 + t23);
    t24 = *((unsigned char *)t29);
    t25 = (t24 == (unsigned char)3);
    t9 = t25;

LAB37:    if (t9 == 1)
        goto LAB32;

LAB33:    t8 = (unsigned char)0;

LAB34:    if (t8 != 0)
        goto LAB29;

LAB31:
LAB30:
LAB27:    t1 = (t0 + 5846);
    t18 = *((int *)t1);
    t2 = (t0 + 5850);
    t19 = *((int *)t2);
    if (t18 == t19)
        goto LAB28;

LAB47:    t10 = (t18 + 1);
    t18 = t10;
    t3 = (t0 + 5846);
    *((int *)t3) = t18;
    goto LAB25;

LAB29:    xsi_set_current_line(97, ng0);
    t65 = (t0 + 5846);
    t69 = *((int *)t65);
    t70 = (t69 - 2);
    t71 = (t70 * -1);
    t72 = (1 * t71);
    t73 = (0U + t72);
    t74 = (t0 + 3152);
    t75 = (t74 + 32U);
    t76 = *((char **)t75);
    t77 = (t76 + 40U);
    t78 = *((char **)t77);
    *((unsigned char *)t78) = (unsigned char)3;
    xsi_driver_first_trans_delta(t74, t73, 1, 0LL);
    goto LAB30;

LAB32:    t30 = (t0 + 1420U);
    t35 = *((char **)t30);
    t30 = (t0 + 5846);
    t36 = *((int *)t30);
    t37 = (t36 - 1);
    t38 = (2 - t37);
    xsi_vhdl_check_range_of_slice(2, 0, -1, t37, 0, -1);
    t39 = (t38 * 1U);
    t40 = (0 + t39);
    t41 = (t35 + t40);
    t42 = (t0 + 5846);
    t43 = *((int *)t42);
    t44 = (t43 - 1);
    t45 = (0 - t44);
    t46 = (t45 * -1);
    t46 = (t46 + 1);
    t47 = (1U * t46);
    t48 = (t0 + 1684U);
    t49 = *((char **)t48);
    t48 = (t0 + 5846);
    t50 = *((int *)t48);
    t51 = (t50 - 1);
    t52 = (2 - t51);
    xsi_vhdl_check_range_of_slice(2, 0, -1, t51, 0, -1);
    t53 = (t52 * 1U);
    t54 = (0 + t53);
    t55 = (t49 + t54);
    t56 = (t0 + 5846);
    t57 = *((int *)t56);
    t58 = (t57 - 1);
    t59 = (0 - t58);
    t60 = (t59 * -1);
    t60 = (t60 + 1);
    t61 = (1U * t60);
    t27 = 1;
    if (t47 == t61)
        goto LAB41;

LAB42:    t27 = 0;

LAB43:    if ((!(t27)) == 1)
        goto LAB38;

LAB39:    t65 = (t0 + 1328U);
    t66 = *((char **)t65);
    t67 = *((unsigned char *)t66);
    t68 = (t67 == (unsigned char)3);
    t26 = t68;

LAB40:    t8 = t26;
    goto LAB34;

LAB35:    t9 = (unsigned char)1;
    goto LAB37;

LAB38:    t26 = (unsigned char)1;
    goto LAB40;

LAB41:    t62 = 0;

LAB44:    if (t62 < t47)
        goto LAB45;
    else
        goto LAB43;

LAB45:    t63 = (t41 + t62);
    t64 = (t55 + t62);
    if (*((unsigned char *)t63) != *((unsigned char *)t64))
        goto LAB42;

LAB46:    t62 = (t62 + 1);
    goto LAB44;

}
Exemplo n.º 6
0
static void work_a_1864849918_3212880686_p_0(char *t0)
{
    char t18[16];
    char t25[8];
    char t36[16];
    char t40[8];
    char t41[8];
    unsigned char t1;
    char *t2;
    unsigned char t3;
    char *t4;
    char *t5;
    unsigned char t6;
    unsigned char t7;
    char *t8;
    int t9;
    int t10;
    char *t11;
    unsigned int t12;
    unsigned int t13;
    unsigned int t14;
    char *t15;
    double t16;
    char *t17;
    int t19;
    unsigned int t20;
    int t21;
    char *t22;
    int t23;
    int t24;
    char *t26;
    char *t27;
    unsigned char t28;
    char *t29;
    char *t30;
    char *t31;
    char *t33;
    char *t34;
    unsigned int t35;
    int t37;
    int t38;
    unsigned int t39;

LAB0:    xsi_set_current_line(154, ng2);
    t2 = (t0 + 992U);
    t3 = xsi_signal_has_event(t2);
    if (t3 == 1)
        goto LAB5;

LAB6:    t1 = (unsigned char)0;

LAB7:    if (t1 != 0)
        goto LAB2;

LAB4:
LAB3:    t2 = (t0 + 6016);
    *((int *)t2) = 1;

LAB1:    return;
LAB2:    xsi_set_current_line(155, ng2);
    t4 = (t0 + 3408U);
    t8 = *((char **)t4);
    t9 = *((int *)t8);
    t10 = (t9 + 1);
    t4 = (t0 + 3408U);
    t11 = *((char **)t4);
    t4 = (t11 + 0);
    *((int *)t4) = t10;
    xsi_set_current_line(156, ng2);
    t2 = (t0 + 1352U);
    t4 = *((char **)t2);
    t1 = *((unsigned char *)t4);
    t3 = (t1 == (unsigned char)3);
    if (t3 != 0)
        goto LAB8;

LAB10:
LAB9:    goto LAB3;

LAB5:    t4 = (t0 + 1032U);
    t5 = *((char **)t4);
    t6 = *((unsigned char *)t5);
    t7 = (t6 == (unsigned char)3);
    t1 = t7;
    goto LAB7;

LAB8:    xsi_set_current_line(157, ng2);
    t2 = (t0 + 3288U);
    t5 = *((char **)t2);
    t9 = *((int *)t5);
    t10 = (t9 + 1);
    t2 = (t0 + 3288U);
    t8 = *((char **)t2);
    t2 = (t8 + 0);
    *((int *)t2) = t10;
    xsi_set_current_line(160, ng2);
    if ((unsigned char)0 != 0)
        goto LAB11;

LAB13:    xsi_set_current_line(166, ng2);
    if ((unsigned char)0 != 0)
        goto LAB14;

LAB16:    xsi_set_current_line(169, ng2);
    t2 = (t0 + 1512U);
    t4 = *((char **)t2);
    t2 = (t0 + 3648U);
    t5 = *((char **)t2);
    t9 = *((int *)t5);
    t10 = (t9 - 1);
    t12 = (1 - t10);
    t13 = (t12 * 1U);
    t14 = (0 + t13);
    t2 = (t4 + t14);
    t8 = (t18 + 0U);
    t11 = (t8 + 0U);
    *((int *)t11) = 1;
    t11 = (t8 + 4U);
    *((int *)t11) = 0;
    t11 = (t8 + 8U);
    *((int *)t11) = -1;
    t19 = (0 - 1);
    t20 = (t19 * -1);
    t20 = (t20 + 1);
    t11 = (t8 + 12U);
    *((unsigned int *)t11) = t20;
    t16 = ieee_proposed_p_0892474878_sub_38700950_450259796(IEEE_PROPOSED_P_0892474878, t2, t18);
    t11 = (t0 + 4008U);
    t15 = *((char **)t11);
    t11 = (t15 + 0);
    *((double *)t11) = t16;

LAB15:
LAB12:    xsi_set_current_line(173, ng2);
    t2 = (t0 + 4248U);
    t4 = *((char **)t2);
    t2 = (t4 + 0);
    *((int *)t2) = 0;
    xsi_set_current_line(174, ng2);
    if ((unsigned char)0 != 0)
        goto LAB17;

LAB19:    xsi_set_current_line(188, ng2);
    t2 = (t0 + 1672U);
    t4 = *((char **)t2);
    t1 = *((unsigned char *)t4);
    t3 = (t1 == (unsigned char)0);
    if (t3 != 0)
        goto LAB26;

LAB28:
LAB27:    xsi_set_current_line(204, ng2);
    t2 = (t0 + 5504);
    t4 = (t0 + 4752U);
    t5 = (t0 + 3288U);
    t11 = *((char **)t5);
    t9 = *((int *)t11);
    std_textio_write5(STD_TEXTIO, t2, t4, t9, (unsigned char)0, 12);
    xsi_set_current_line(205, ng2);
    t2 = (t0 + 5504);
    t4 = (t0 + 4752U);
    std_textio_write4(STD_TEXTIO, t2, t4, (unsigned char)32, (unsigned char)0, 0);
    xsi_set_current_line(206, ng2);
    t2 = (t0 + 5504);
    t4 = (t0 + 4752U);
    t5 = (t0 + 3408U);
    t11 = *((char **)t5);
    t9 = *((int *)t11);
    t5 = (t0 + 3528U);
    t15 = *((char **)t5);
    t10 = *((int *)t15);
    t19 = (t9 - t10);
    std_textio_write5(STD_TEXTIO, t2, t4, t19, (unsigned char)0, 6);
    xsi_set_current_line(207, ng2);
    t2 = (t0 + 3408U);
    t4 = *((char **)t2);
    t9 = *((int *)t4);
    t2 = (t0 + 3528U);
    t5 = *((char **)t2);
    t2 = (t5 + 0);
    *((int *)t2) = t9;
    xsi_set_current_line(208, ng2);
    t2 = (t0 + 5504);
    t4 = (t0 + 4752U);
    t5 = (t0 + 11221);
    t15 = (t18 + 0U);
    t17 = (t15 + 0U);
    *((int *)t17) = 1;
    t17 = (t15 + 4U);
    *((int *)t17) = 2;
    t17 = (t15 + 8U);
    *((int *)t17) = 1;
    t9 = (2 - 1);
    t12 = (t9 * 1);
    t12 = (t12 + 1);
    t17 = (t15 + 12U);
    *((unsigned int *)t17) = t12;
    std_textio_write7(STD_TEXTIO, t2, t4, t5, t18, (unsigned char)0, 0);
    xsi_set_current_line(210, ng2);
    t2 = (t0 + 4248U);
    t4 = *((char **)t2);
    t2 = (t4 + 0);
    *((int *)t2) = 0;
    xsi_set_current_line(211, ng2);
    t1 = (0 > 0);
    if (t1 != 0)
        goto LAB35;

LAB37:
LAB36:    xsi_set_current_line(217, ng2);
    if ((unsigned char)0 != 0)
        goto LAB38;

LAB40:    if ((unsigned char)0 != 0)
        goto LAB41;

LAB42:    xsi_set_current_line(222, ng2);
    t2 = (t0 + 5504);
    t4 = (t0 + 4752U);
    t5 = (t0 + 1512U);
    t11 = *((char **)t5);
    t5 = (t0 + 3648U);
    t15 = *((char **)t5);
    t9 = *((int *)t15);
    t10 = (t9 - 1);
    t12 = (1 - t10);
    t13 = (t12 * 1U);
    t14 = (0 + t13);
    t5 = (t11 + t14);
    memcpy(t41, t5, 2U);
    t22 = (t0 + 10904U);
    ieee_p_3564397177_sub_1281154728_91900896(IEEE_P_3564397177, t2, t4, t41, t22, (unsigned char)0, 0);

LAB39:    xsi_set_current_line(224, ng2);
    t2 = (t0 + 5504);
    t4 = (t0 + 4576U);
    t5 = (t0 + 4752U);
    std_textio_writeline(STD_TEXTIO, t2, t4, t5);

LAB18:    goto LAB9;

LAB11:    xsi_set_current_line(162, ng2);
    t2 = (t0 + 1512U);
    t4 = *((char **)t2);
    t2 = (t0 + 3648U);
    t5 = *((char **)t2);
    t9 = *((int *)t5);
    t10 = (t9 - 1);
    t12 = (1 - t10);
    t13 = (t12 * 1U);
    t14 = (0 + t13);
    t2 = (t4 + t14);
    t8 = (t0 + 4128U);
    t11 = *((char **)t8);
    t8 = (t11 + 0);
    memcpy(t8, t2, 2U);
    xsi_set_current_line(163, ng2);
    t2 = (t0 + 4128U);
    t4 = *((char **)t2);
    t2 = (t0 + 4136);
    t2 = *((char **)t2);
    t5 = ((IEEE_PROPOSED_P_0618294350) + 1408U);
    t8 = *((char **)t5);
    t1 = *((unsigned char *)t8);
    t5 = ((IEEE_PROPOSED_P_0618294350) + 1648U);
    t11 = *((char **)t5);
    t3 = *((unsigned char *)t11);
    t5 = ((IEEE_PROPOSED_P_0618294350) + 1528U);
    t15 = *((char **)t5);
    t6 = *((unsigned char *)t15);
    t16 = ieee_proposed_p_0618294350_sub_321460540_3517329569(IEEE_PROPOSED_P_0618294350, t4, t2, t1, t3, t6);
    t5 = (t0 + 4008U);
    t17 = *((char **)t5);
    t5 = (t17 + 0);
    *((double *)t5) = t16;
    goto LAB12;

LAB14:    xsi_set_current_line(167, ng2);
    t2 = (t0 + 1512U);
    t4 = *((char **)t2);
    t2 = (t0 + 3648U);
    t5 = *((char **)t2);
    t9 = *((int *)t5);
    t10 = (t9 - 1);
    t12 = (1 - t10);
    t13 = (t12 * 1U);
    t14 = (0 + t13);
    t2 = (t4 + t14);
    t8 = (t18 + 0U);
    t11 = (t8 + 0U);
    *((int *)t11) = 1;
    t11 = (t8 + 4U);
    *((int *)t11) = 0;
    t11 = (t8 + 8U);
    *((int *)t11) = -1;
    t19 = (0 - 1);
    t20 = (t19 * -1);
    t20 = (t20 + 1);
    t11 = (t8 + 12U);
    *((unsigned int *)t11) = t20;
    t16 = ieee_proposed_p_0892474878_sub_3726917380_450259796(IEEE_PROPOSED_P_0892474878, t2, t18);
    t11 = (t0 + 4008U);
    t15 = *((char **)t11);
    t11 = (t15 + 0);
    *((double *)t11) = t16;
    goto LAB15;

LAB17:    xsi_set_current_line(175, ng2);
    t1 = (0 > 0);
    if (t1 != 0)
        goto LAB20;

LAB22:
LAB21:    xsi_set_current_line(181, ng2);
    if ((unsigned char)0 != 0)
        goto LAB23;

LAB25:    xsi_set_current_line(184, ng2);
    t2 = (t0 + 5504);
    t4 = (t0 + 4752U);
    t5 = (t0 + 1512U);
    t8 = *((char **)t5);
    t5 = (t0 + 3648U);
    t11 = *((char **)t5);
    t9 = *((int *)t11);
    t10 = (t9 - 1);
    t12 = (1 - t10);
    t13 = (t12 * 1U);
    t14 = (0 + t13);
    t5 = (t8 + t14);
    memcpy(t25, t5, 2U);
    t15 = (t0 + 10904U);
    ieee_p_3564397177_sub_1281154728_91900896(IEEE_P_3564397177, t2, t4, t25, t15, (unsigned char)0, 0);

LAB24:    xsi_set_current_line(186, ng2);
    t2 = (t0 + 5504);
    t4 = (t0 + 4576U);
    t5 = (t0 + 4752U);
    std_textio_writeline(STD_TEXTIO, t2, t4, t5);
    goto LAB18;

LAB20:    xsi_set_current_line(176, ng2);
    t2 = (t0 + 3648U);
    t4 = *((char **)t2);
    t9 = *((int *)t4);
    t2 = (t0 + 4248U);
    t5 = *((char **)t2);
    t2 = (t5 + 0);
    *((int *)t2) = t9;
    xsi_set_current_line(177, ng2);
    t2 = (t0 + 5504);
    t4 = (t0 + 4752U);
    t5 = (t0 + 1512U);
    t8 = *((char **)t5);
    t9 = (2 - 1);
    t12 = (1 - t9);
    t5 = (t0 + 4248U);
    t11 = *((char **)t5);
    t10 = *((int *)t11);
    xsi_vhdl_check_range_of_slice(1, 0, -1, t9, t10, -1);
    t13 = (t12 * 1U);
    t14 = (0 + t13);
    t5 = (t8 + t14);
    t19 = (2 - 1);
    t15 = (t0 + 4248U);
    t17 = *((char **)t15);
    t21 = *((int *)t17);
    t15 = (t18 + 0U);
    t22 = (t15 + 0U);
    *((int *)t22) = t19;
    t22 = (t15 + 4U);
    *((int *)t22) = t21;
    t22 = (t15 + 8U);
    *((int *)t22) = -1;
    t23 = (t21 - t19);
    t20 = (t23 * -1);
    t20 = (t20 + 1);
    t22 = (t15 + 12U);
    *((unsigned int *)t22) = t20;
    t24 = ieee_p_1242562249_sub_1657552908_1035706684(IEEE_P_1242562249, t5, t18);
    std_textio_write5(STD_TEXTIO, t2, t4, t24, (unsigned char)0, 0);
    xsi_set_current_line(178, ng2);
    t2 = (t0 + 5504);
    t4 = (t0 + 4752U);
    std_textio_write4(STD_TEXTIO, t2, t4, (unsigned char)59, (unsigned char)0, 0);
    goto LAB21;

LAB23:    xsi_set_current_line(182, ng2);
    t2 = (t0 + 5504);
    t4 = (t0 + 4752U);
    t5 = (t0 + 4008U);
    t8 = *((char **)t5);
    t16 = *((double *)t8);
    std_textio_write6(STD_TEXTIO, t2, t4, t16, (unsigned char)0, 0, 0);
    goto LAB24;

LAB26:    xsi_set_current_line(189, ng2);
    t2 = (t0 + 10872U);
    t5 = (t2 + 12U);
    t12 = *((unsigned int *)t5);
    t6 = (t12 > 0);
    if (t6 != 0)
        goto LAB29;

LAB31:
LAB30:    xsi_set_current_line(196, ng2);
    t2 = (t0 + 5504);
    t4 = (t0 + 4752U);
    t5 = (t0 + 11154);
    t15 = (t18 + 0U);
    t17 = (t15 + 0U);
    *((int *)t17) = 1;
    t17 = (t15 + 4U);
    *((int *)t17) = 42;
    t17 = (t15 + 8U);
    *((int *)t17) = 1;
    t9 = (42 - 1);
    t12 = (t9 * 1);
    t12 = (t12 + 1);
    t17 = (t15 + 12U);
    *((unsigned int *)t17) = t12;
    std_textio_write7(STD_TEXTIO, t2, t4, t5, t18, (unsigned char)0, 0);
    xsi_set_current_line(197, ng2);
    t2 = (t0 + 5504);
    t4 = (t0 + 4752U);
    t5 = xsi_string_variable_get_path_name((char)101, t18, t0);
    std_textio_write7(STD_TEXTIO, t2, t4, t5, t18, (unsigned char)0, 0);
    xsi_set_current_line(198, ng2);
    t2 = (t0 + 5504);
    t4 = (t0 + 4576U);
    t5 = (t0 + 4752U);
    std_textio_writeline(STD_TEXTIO, t2, t4, t5);
    xsi_set_current_line(199, ng2);
    t2 = (t0 + 5504);
    t4 = (t0 + 4752U);
    t5 = (t0 + 11196);
    t15 = (t18 + 0U);
    t17 = (t15 + 0U);
    *((int *)t17) = 1;
    t17 = (t15 + 4U);
    *((int *)t17) = 13;
    t17 = (t15 + 8U);
    *((int *)t17) = 1;
    t9 = (13 - 1);
    t12 = (t9 * 1);
    t12 = (t12 + 1);
    t17 = (t15 + 12U);
    *((unsigned int *)t17) = t12;
    std_textio_write7(STD_TEXTIO, t2, t4, t5, t18, (unsigned char)0, 0);
    xsi_set_current_line(200, ng2);
    t2 = (t0 + 5504);
    t4 = (t0 + 4752U);
    t5 = (t0 + 11209);
    memcpy(t36, t5, 12U);
    t15 = (t0 + 10856U);
    std_textio_write7(STD_TEXTIO, t2, t4, t36, t15, (unsigned char)0, 0);
    xsi_set_current_line(201, ng2);
    t2 = (t0 + 5504);
    t4 = (t0 + 4576U);
    t5 = (t0 + 4752U);
    std_textio_writeline(STD_TEXTIO, t2, t4, t5);
    xsi_set_current_line(202, ng2);
    t2 = (t0 + 6176);
    t4 = (t2 + 56U);
    t5 = *((char **)t4);
    t11 = (t5 + 56U);
    t15 = *((char **)t11);
    *((unsigned char *)t15) = (unsigned char)1;
    xsi_driver_first_trans_fast(t2);
    goto LAB27;

LAB29:    xsi_set_current_line(190, ng2);
    t8 = (t0 + 5112U);
    t11 = (t8 + 56U);
    t15 = *((char **)t11);
    t11 = (t0 + 10872U);
    t17 = (t11 + 0U);
    t9 = *((int *)t17);
    t22 = (t0 + 10872U);
    t26 = (t22 + 8U);
    t10 = *((int *)t26);
    t19 = (1 - t9);
    t13 = (t19 * t10);
    t14 = (1U * t13);
    t20 = (0 + t14);
    t27 = (t15 + t20);
    t7 = *((unsigned char *)t27);
    t28 = (t7 != (unsigned char)35);
    if (t28 != 0)
        goto LAB32;

LAB34:
LAB33:    xsi_set_current_line(193, ng2);
    t2 = (t0 + 5504);
    t4 = (t0 + 4752U);
    t5 = (t0 + 5112U);
    t8 = (t5 + 56U);
    t11 = *((char **)t8);
    memcpy(t8, t11, 0U);
    t15 = (t0 + 10872U);
    std_textio_write7(STD_TEXTIO, t2, t4, t8, t15, (unsigned char)0, 0);
    xsi_set_current_line(194, ng2);
    t2 = (t0 + 5504);
    t4 = (t0 + 4576U);
    t5 = (t0 + 4752U);
    std_textio_writeline(STD_TEXTIO, t2, t4, t5);
    goto LAB30;

LAB32:    xsi_set_current_line(191, ng2);
    t29 = (t0 + 5504);
    t30 = (t0 + 4752U);
    t31 = (t0 + 11152);
    t33 = (t18 + 0U);
    t34 = (t33 + 0U);
    *((int *)t34) = 1;
    t34 = (t33 + 4U);
    *((int *)t34) = 2;
    t34 = (t33 + 8U);
    *((int *)t34) = 1;
    t21 = (2 - 1);
    t35 = (t21 * 1);
    t35 = (t35 + 1);
    t34 = (t33 + 12U);
    *((unsigned int *)t34) = t35;
    std_textio_write7(STD_TEXTIO, t29, t30, t31, t18, (unsigned char)0, 0);
    goto LAB33;

LAB35:    xsi_set_current_line(212, ng2);
    t2 = (t0 + 3648U);
    t4 = *((char **)t2);
    t9 = *((int *)t4);
    t2 = (t0 + 4248U);
    t5 = *((char **)t2);
    t2 = (t5 + 0);
    *((int *)t2) = t9;
    xsi_set_current_line(213, ng2);
    t2 = (t0 + 5504);
    t4 = (t0 + 4752U);
    t5 = (t0 + 1512U);
    t11 = *((char **)t5);
    t9 = (2 - 1);
    t12 = (1 - t9);
    t5 = (t0 + 4248U);
    t15 = *((char **)t5);
    t10 = *((int *)t15);
    xsi_vhdl_check_range_of_slice(1, 0, -1, t9, t10, -1);
    t13 = (t12 * 1U);
    t14 = (0 + t13);
    t5 = (t11 + t14);
    t19 = (2 - 1);
    t17 = (t0 + 4248U);
    t22 = *((char **)t17);
    t21 = *((int *)t22);
    t23 = (t21 - t19);
    t20 = (t23 * -1);
    t20 = (t20 + 1);
    t35 = (1U * t20);
    t17 = (char *)alloca(t35);
    memcpy(t17, t5, t35);
    t24 = (2 - 1);
    t26 = (t0 + 4248U);
    t27 = *((char **)t26);
    t37 = *((int *)t27);
    t26 = (t18 + 0U);
    t29 = (t26 + 0U);
    *((int *)t29) = t24;
    t29 = (t26 + 4U);
    *((int *)t29) = t37;
    t29 = (t26 + 8U);
    *((int *)t29) = -1;
    t38 = (t37 - t24);
    t39 = (t38 * -1);
    t39 = (t39 + 1);
    t29 = (t26 + 12U);
    *((unsigned int *)t29) = t39;
    ieee_p_3564397177_sub_1281154728_91900896(IEEE_P_3564397177, t2, t4, t17, t18, (unsigned char)0, 0);
    xsi_set_current_line(214, ng2);
    t2 = (t0 + 5504);
    t4 = (t0 + 4752U);
    std_textio_write4(STD_TEXTIO, t2, t4, (unsigned char)32, (unsigned char)0, 0);
    goto LAB36;

LAB38:    xsi_set_current_line(218, ng2);
    t2 = (t0 + 5504);
    t4 = (t0 + 4752U);
    t5 = (t0 + 4008U);
    t11 = *((char **)t5);
    t16 = *((double *)t11);
    std_textio_write6(STD_TEXTIO, t2, t4, t16, (unsigned char)0, 0, 0);
    goto LAB39;

LAB41:    xsi_set_current_line(220, ng2);
    t2 = (t0 + 5504);
    t4 = (t0 + 4752U);
    t5 = (t0 + 1512U);
    t11 = *((char **)t5);
    t5 = (t0 + 3648U);
    t15 = *((char **)t5);
    t9 = *((int *)t15);
    t10 = (t9 - 1);
    t12 = (1 - t10);
    t13 = (t12 * 1U);
    t14 = (0 + t13);
    t5 = (t11 + t14);
    memcpy(t40, t5, 2U);
    t22 = (t0 + 10904U);
    ieee_p_3564397177_sub_3205433008_91900896(IEEE_P_3564397177, t2, t4, t40, t22, (unsigned char)0, 0);
    goto LAB39;

}
Exemplo n.º 7
0
static void work_a_0597915860_3212880686_p_0(char *t0)
{
    char t80[16];
    char t95[16];
    char *t1;
    char *t2;
    char *t3;
    int t4;
    char *t5;
    char *t6;
    int t7;
    char *t8;
    char *t9;
    int t10;
    char *t11;
    char *t12;
    int t13;
    char *t14;
    char *t15;
    int t16;
    char *t17;
    char *t18;
    int t19;
    char *t20;
    char *t21;
    int t22;
    char *t23;
    char *t24;
    int t25;
    char *t26;
    char *t27;
    int t28;
    char *t29;
    int t31;
    char *t32;
    int t34;
    char *t35;
    int t37;
    char *t38;
    int t40;
    char *t41;
    int t43;
    char *t44;
    int t46;
    char *t47;
    int t49;
    char *t50;
    int t52;
    char *t53;
    int t55;
    char *t56;
    int t58;
    char *t59;
    int t61;
    char *t62;
    int t64;
    char *t65;
    int t67;
    char *t68;
    int t70;
    char *t71;
    int t73;
    char *t74;
    int t76;
    char *t77;
    int t79;
    char *t81;
    char *t82;
    char *t83;
    char *t84;
    char *t85;
    char *t86;
    unsigned int t87;
    unsigned int t88;
    unsigned char t89;
    char *t90;
    char *t91;
    char *t92;
    char *t93;
    char *t94;
    unsigned char t96;
    unsigned int t97;
    unsigned int t98;
    unsigned int t99;
    unsigned int t100;
    unsigned int t101;
    unsigned int t102;
    unsigned int t103;
    unsigned int t104;
    unsigned int t105;
    unsigned int t106;
    unsigned int t107;
    unsigned int t108;

LAB0:    xsi_set_current_line(22, ng0);
    t1 = (t0 + 1032U);
    t2 = *((char **)t1);
    t1 = (t0 + 5913);
    t4 = xsi_mem_cmp(t1, t2, 8U);
    if (t4 == 1)
        goto LAB3;

LAB30:    t5 = (t0 + 5921);
    t7 = xsi_mem_cmp(t5, t2, 8U);
    if (t7 == 1)
        goto LAB4;

LAB31:    t8 = (t0 + 5929);
    t10 = xsi_mem_cmp(t8, t2, 8U);
    if (t10 == 1)
        goto LAB5;

LAB32:    t11 = (t0 + 5937);
    t13 = xsi_mem_cmp(t11, t2, 8U);
    if (t13 == 1)
        goto LAB6;

LAB33:    t14 = (t0 + 5945);
    t16 = xsi_mem_cmp(t14, t2, 8U);
    if (t16 == 1)
        goto LAB7;

LAB34:    t17 = (t0 + 5953);
    t19 = xsi_mem_cmp(t17, t2, 8U);
    if (t19 == 1)
        goto LAB8;

LAB35:    t20 = (t0 + 5961);
    t22 = xsi_mem_cmp(t20, t2, 8U);
    if (t22 == 1)
        goto LAB9;

LAB36:    t23 = (t0 + 5969);
    t25 = xsi_mem_cmp(t23, t2, 8U);
    if (t25 == 1)
        goto LAB10;

LAB37:    t26 = (t0 + 5977);
    t28 = xsi_mem_cmp(t26, t2, 8U);
    if (t28 == 1)
        goto LAB11;

LAB38:    t29 = (t0 + 5985);
    t31 = xsi_mem_cmp(t29, t2, 8U);
    if (t31 == 1)
        goto LAB12;

LAB39:    t32 = (t0 + 5993);
    t34 = xsi_mem_cmp(t32, t2, 8U);
    if (t34 == 1)
        goto LAB13;

LAB40:    t35 = (t0 + 6001);
    t37 = xsi_mem_cmp(t35, t2, 8U);
    if (t37 == 1)
        goto LAB14;

LAB41:    t38 = (t0 + 6009);
    t40 = xsi_mem_cmp(t38, t2, 8U);
    if (t40 == 1)
        goto LAB15;

LAB42:    t41 = (t0 + 6017);
    t43 = xsi_mem_cmp(t41, t2, 8U);
    if (t43 == 1)
        goto LAB16;

LAB43:    t44 = (t0 + 6025);
    t46 = xsi_mem_cmp(t44, t2, 8U);
    if (t46 == 1)
        goto LAB17;

LAB44:    t47 = (t0 + 6033);
    t49 = xsi_mem_cmp(t47, t2, 8U);
    if (t49 == 1)
        goto LAB18;

LAB45:    t50 = (t0 + 6041);
    t52 = xsi_mem_cmp(t50, t2, 8U);
    if (t52 == 1)
        goto LAB19;

LAB46:    t53 = (t0 + 6049);
    t55 = xsi_mem_cmp(t53, t2, 8U);
    if (t55 == 1)
        goto LAB20;

LAB47:    t56 = (t0 + 6057);
    t58 = xsi_mem_cmp(t56, t2, 8U);
    if (t58 == 1)
        goto LAB21;

LAB48:    t59 = (t0 + 6065);
    t61 = xsi_mem_cmp(t59, t2, 8U);
    if (t61 == 1)
        goto LAB22;

LAB49:    t62 = (t0 + 6073);
    t64 = xsi_mem_cmp(t62, t2, 8U);
    if (t64 == 1)
        goto LAB23;

LAB50:    t65 = (t0 + 6081);
    t67 = xsi_mem_cmp(t65, t2, 8U);
    if (t67 == 1)
        goto LAB24;

LAB51:    t68 = (t0 + 6089);
    t70 = xsi_mem_cmp(t68, t2, 8U);
    if (t70 == 1)
        goto LAB25;

LAB52:    t71 = (t0 + 6097);
    t73 = xsi_mem_cmp(t71, t2, 8U);
    if (t73 == 1)
        goto LAB26;

LAB53:    t74 = (t0 + 6105);
    t76 = xsi_mem_cmp(t74, t2, 8U);
    if (t76 == 1)
        goto LAB27;

LAB54:    t77 = (t0 + 6113);
    t79 = xsi_mem_cmp(t77, t2, 8U);
    if (t79 == 1)
        goto LAB28;

LAB55:
LAB29:    xsi_set_current_line(86, ng0);
    t1 = (t0 + 1352U);
    t2 = *((char **)t1);
    t1 = (t0 + 3656);
    t3 = (t1 + 56U);
    t5 = *((char **)t3);
    t6 = (t5 + 56U);
    t8 = *((char **)t6);
    memcpy(t8, t2, 32U);
    xsi_driver_first_trans_fast(t1);

LAB2:    t1 = (t0 + 3560);
    *((int *)t1) = 1;

LAB1:    return;
LAB3:    xsi_set_current_line(24, ng0);
    t81 = (t0 + 1352U);
    t82 = *((char **)t81);
    t81 = (t0 + 5736U);
    t83 = (t0 + 1512U);
    t84 = *((char **)t83);
    t83 = (t0 + 5752U);
    t85 = ieee_p_3620187407_sub_767668596_3965413181(IEEE_P_3620187407, t80, t82, t81, t84, t83);
    t86 = (t80 + 12U);
    t87 = *((unsigned int *)t86);
    t88 = (1U * t87);
    t89 = (32U != t88);
    if (t89 == 1)
        goto LAB57;

LAB58:    t90 = (t0 + 3656);
    t91 = (t90 + 56U);
    t92 = *((char **)t91);
    t93 = (t92 + 56U);
    t94 = *((char **)t93);
    memcpy(t94, t85, 32U);
    xsi_driver_first_trans_fast(t90);
    goto LAB2;

LAB4:    xsi_set_current_line(26, ng0);
    t1 = (t0 + 1352U);
    t2 = *((char **)t1);
    t1 = (t0 + 5736U);
    t3 = (t0 + 1512U);
    t5 = *((char **)t3);
    t3 = (t0 + 5752U);
    t6 = ieee_p_3620187407_sub_767668596_3965413181(IEEE_P_3620187407, t80, t2, t1, t5, t3);
    t8 = (t80 + 12U);
    t87 = *((unsigned int *)t8);
    t88 = (1U * t87);
    t89 = (32U != t88);
    if (t89 == 1)
        goto LAB59;

LAB60:    t9 = (t0 + 3656);
    t11 = (t9 + 56U);
    t12 = *((char **)t11);
    t14 = (t12 + 56U);
    t15 = *((char **)t14);
    memcpy(t15, t6, 32U);
    xsi_driver_first_trans_fast(t9);
    goto LAB2;

LAB5:    xsi_set_current_line(28, ng0);
    t1 = (t0 + 1352U);
    t2 = *((char **)t1);
    t1 = (t0 + 5736U);
    t3 = (t0 + 1512U);
    t5 = *((char **)t3);
    t3 = (t0 + 5752U);
    t6 = ieee_p_3620187407_sub_767668596_3965413181(IEEE_P_3620187407, t95, t2, t1, t5, t3);
    t8 = (t0 + 1192U);
    t9 = *((char **)t8);
    t89 = *((unsigned char *)t9);
    t8 = ieee_p_3620187407_sub_674691591_3965413181(IEEE_P_3620187407, t80, t6, t95, t89);
    t11 = (t80 + 12U);
    t87 = *((unsigned int *)t11);
    t88 = (1U * t87);
    t96 = (32U != t88);
    if (t96 == 1)
        goto LAB61;

LAB62:    t12 = (t0 + 3656);
    t14 = (t12 + 56U);
    t15 = *((char **)t14);
    t17 = (t15 + 56U);
    t18 = *((char **)t17);
    memcpy(t18, t8, 32U);
    xsi_driver_first_trans_fast(t12);
    goto LAB2;

LAB6:    xsi_set_current_line(30, ng0);
    t1 = (t0 + 1352U);
    t2 = *((char **)t1);
    t1 = (t0 + 5736U);
    t3 = (t0 + 1512U);
    t5 = *((char **)t3);
    t3 = (t0 + 5752U);
    t6 = ieee_p_3620187407_sub_767668596_3965413181(IEEE_P_3620187407, t95, t2, t1, t5, t3);
    t8 = (t0 + 1192U);
    t9 = *((char **)t8);
    t89 = *((unsigned char *)t9);
    t8 = ieee_p_3620187407_sub_674691591_3965413181(IEEE_P_3620187407, t80, t6, t95, t89);
    t11 = (t80 + 12U);
    t87 = *((unsigned int *)t11);
    t88 = (1U * t87);
    t96 = (32U != t88);
    if (t96 == 1)
        goto LAB63;

LAB64:    t12 = (t0 + 3656);
    t14 = (t12 + 56U);
    t15 = *((char **)t14);
    t17 = (t15 + 56U);
    t18 = *((char **)t17);
    memcpy(t18, t8, 32U);
    xsi_driver_first_trans_fast(t12);
    goto LAB2;

LAB7:    xsi_set_current_line(32, ng0);
    t1 = (t0 + 1352U);
    t2 = *((char **)t1);
    t1 = (t0 + 5736U);
    t3 = (t0 + 1512U);
    t5 = *((char **)t3);
    t3 = (t0 + 5752U);
    t6 = ieee_p_3620187407_sub_767740470_3965413181(IEEE_P_3620187407, t80, t2, t1, t5, t3);
    t8 = (t80 + 12U);
    t87 = *((unsigned int *)t8);
    t88 = (1U * t87);
    t89 = (32U != t88);
    if (t89 == 1)
        goto LAB65;

LAB66:    t9 = (t0 + 3656);
    t11 = (t9 + 56U);
    t12 = *((char **)t11);
    t14 = (t12 + 56U);
    t15 = *((char **)t14);
    memcpy(t15, t6, 32U);
    xsi_driver_first_trans_fast(t9);
    goto LAB2;

LAB8:    xsi_set_current_line(34, ng0);
    t1 = (t0 + 1352U);
    t2 = *((char **)t1);
    t1 = (t0 + 5736U);
    t3 = (t0 + 1512U);
    t5 = *((char **)t3);
    t3 = (t0 + 5752U);
    t6 = ieee_p_3620187407_sub_767740470_3965413181(IEEE_P_3620187407, t80, t2, t1, t5, t3);
    t8 = (t80 + 12U);
    t87 = *((unsigned int *)t8);
    t88 = (1U * t87);
    t89 = (32U != t88);
    if (t89 == 1)
        goto LAB67;

LAB68:    t9 = (t0 + 3656);
    t11 = (t9 + 56U);
    t12 = *((char **)t11);
    t14 = (t12 + 56U);
    t15 = *((char **)t14);
    memcpy(t15, t6, 32U);
    xsi_driver_first_trans_fast(t9);
    goto LAB2;

LAB9:    xsi_set_current_line(36, ng0);
    t1 = (t0 + 1352U);
    t2 = *((char **)t1);
    t1 = (t0 + 5736U);
    t3 = (t0 + 1512U);
    t5 = *((char **)t3);
    t3 = (t0 + 5752U);
    t6 = ieee_p_3620187407_sub_767740470_3965413181(IEEE_P_3620187407, t95, t2, t1, t5, t3);
    t8 = (t0 + 1192U);
    t9 = *((char **)t8);
    t89 = *((unsigned char *)t9);
    t8 = ieee_p_3620187407_sub_674763465_3965413181(IEEE_P_3620187407, t80, t6, t95, t89);
    t11 = (t80 + 12U);
    t87 = *((unsigned int *)t11);
    t88 = (1U * t87);
    t96 = (32U != t88);
    if (t96 == 1)
        goto LAB69;

LAB70:    t12 = (t0 + 3656);
    t14 = (t12 + 56U);
    t15 = *((char **)t14);
    t17 = (t15 + 56U);
    t18 = *((char **)t17);
    memcpy(t18, t8, 32U);
    xsi_driver_first_trans_fast(t12);
    goto LAB2;

LAB10:    xsi_set_current_line(38, ng0);
    t1 = (t0 + 1352U);
    t2 = *((char **)t1);
    t1 = (t0 + 5736U);
    t3 = (t0 + 1512U);
    t5 = *((char **)t3);
    t3 = (t0 + 5752U);
    t6 = ieee_p_3620187407_sub_767740470_3965413181(IEEE_P_3620187407, t95, t2, t1, t5, t3);
    t8 = (t0 + 1192U);
    t9 = *((char **)t8);
    t89 = *((unsigned char *)t9);
    t8 = ieee_p_3620187407_sub_674763465_3965413181(IEEE_P_3620187407, t80, t6, t95, t89);
    t11 = (t80 + 12U);
    t87 = *((unsigned int *)t11);
    t88 = (1U * t87);
    t96 = (32U != t88);
    if (t96 == 1)
        goto LAB71;

LAB72:    t12 = (t0 + 3656);
    t14 = (t12 + 56U);
    t15 = *((char **)t14);
    t17 = (t15 + 56U);
    t18 = *((char **)t17);
    memcpy(t18, t8, 32U);
    xsi_driver_first_trans_fast(t12);
    goto LAB2;

LAB11:    xsi_set_current_line(40, ng0);
    t1 = (t0 + 1352U);
    t2 = *((char **)t1);
    t1 = (t0 + 5736U);
    t3 = (t0 + 1512U);
    t5 = *((char **)t3);
    t3 = (t0 + 5752U);
    t6 = ieee_p_2592010699_sub_795620321_503743352(IEEE_P_2592010699, t80, t2, t1, t5, t3);
    t8 = (t80 + 12U);
    t87 = *((unsigned int *)t8);
    t88 = (1U * t87);
    t89 = (32U != t88);
    if (t89 == 1)
        goto LAB73;

LAB74:    t9 = (t0 + 3656);
    t11 = (t9 + 56U);
    t12 = *((char **)t11);
    t14 = (t12 + 56U);
    t15 = *((char **)t14);
    memcpy(t15, t6, 32U);
    xsi_driver_first_trans_fast(t9);
    goto LAB2;

LAB12:    xsi_set_current_line(42, ng0);
    t1 = (t0 + 1352U);
    t2 = *((char **)t1);
    t1 = (t0 + 5736U);
    t3 = (t0 + 1512U);
    t5 = *((char **)t3);
    t3 = (t0 + 5752U);
    t6 = ieee_p_2592010699_sub_795620321_503743352(IEEE_P_2592010699, t80, t2, t1, t5, t3);
    t8 = (t80 + 12U);
    t87 = *((unsigned int *)t8);
    t88 = (1U * t87);
    t89 = (32U != t88);
    if (t89 == 1)
        goto LAB75;

LAB76:    t9 = (t0 + 3656);
    t11 = (t9 + 56U);
    t12 = *((char **)t11);
    t14 = (t12 + 56U);
    t15 = *((char **)t14);
    memcpy(t15, t6, 32U);
    xsi_driver_first_trans_fast(t9);
    goto LAB2;

LAB13:    xsi_set_current_line(44, ng0);
    t1 = (t0 + 1352U);
    t2 = *((char **)t1);
    t1 = (t0 + 5736U);
    t3 = (t0 + 1512U);
    t5 = *((char **)t3);
    t3 = (t0 + 5752U);
    t6 = ieee_p_2592010699_sub_795620321_503743352(IEEE_P_2592010699, t95, t2, t1, t5, t3);
    t8 = ieee_p_2592010699_sub_1837678034_503743352(IEEE_P_2592010699, t80, t6, t95);
    t9 = (t80 + 12U);
    t87 = *((unsigned int *)t9);
    t88 = (1U * t87);
    t89 = (32U != t88);
    if (t89 == 1)
        goto LAB77;

LAB78:    t11 = (t0 + 3656);
    t12 = (t11 + 56U);
    t14 = *((char **)t12);
    t15 = (t14 + 56U);
    t17 = *((char **)t15);
    memcpy(t17, t8, 32U);
    xsi_driver_first_trans_fast(t11);
    goto LAB2;

LAB14:    xsi_set_current_line(47, ng0);
    t1 = (t0 + 1352U);
    t2 = *((char **)t1);
    t1 = (t0 + 5736U);
    t3 = (t0 + 1512U);
    t5 = *((char **)t3);
    t3 = (t0 + 5752U);
    t6 = ieee_p_2592010699_sub_795620321_503743352(IEEE_P_2592010699, t95, t2, t1, t5, t3);
    t8 = ieee_p_2592010699_sub_1837678034_503743352(IEEE_P_2592010699, t80, t6, t95);
    t9 = (t80 + 12U);
    t87 = *((unsigned int *)t9);
    t88 = (1U * t87);
    t89 = (32U != t88);
    if (t89 == 1)
        goto LAB79;

LAB80:    t11 = (t0 + 3656);
    t12 = (t11 + 56U);
    t14 = *((char **)t12);
    t15 = (t14 + 56U);
    t17 = *((char **)t15);
    memcpy(t17, t8, 32U);
    xsi_driver_first_trans_fast(t11);
    goto LAB2;

LAB15:    xsi_set_current_line(50, ng0);
    t1 = (t0 + 1352U);
    t2 = *((char **)t1);
    t1 = (t0 + 5736U);
    t3 = (t0 + 1512U);
    t5 = *((char **)t3);
    t3 = (t0 + 5752U);
    t6 = ieee_p_2592010699_sub_1735675855_503743352(IEEE_P_2592010699, t80, t2, t1, t5, t3);
    t8 = (t80 + 12U);
    t87 = *((unsigned int *)t8);
    t88 = (1U * t87);
    t89 = (32U != t88);
    if (t89 == 1)
        goto LAB81;

LAB82:    t9 = (t0 + 3656);
    t11 = (t9 + 56U);
    t12 = *((char **)t11);
    t14 = (t12 + 56U);
    t15 = *((char **)t14);
    memcpy(t15, t6, 32U);
    xsi_driver_first_trans_fast(t9);
    goto LAB2;

LAB16:    xsi_set_current_line(52, ng0);
    t1 = (t0 + 1352U);
    t2 = *((char **)t1);
    t1 = (t0 + 5736U);
    t3 = (t0 + 1512U);
    t5 = *((char **)t3);
    t3 = (t0 + 5752U);
    t6 = ieee_p_2592010699_sub_1735675855_503743352(IEEE_P_2592010699, t80, t2, t1, t5, t3);
    t8 = (t80 + 12U);
    t87 = *((unsigned int *)t8);
    t88 = (1U * t87);
    t89 = (32U != t88);
    if (t89 == 1)
        goto LAB83;

LAB84:    t9 = (t0 + 3656);
    t11 = (t9 + 56U);
    t12 = *((char **)t11);
    t14 = (t12 + 56U);
    t15 = *((char **)t14);
    memcpy(t15, t6, 32U);
    xsi_driver_first_trans_fast(t9);
    goto LAB2;

LAB17:    xsi_set_current_line(54, ng0);
    t1 = (t0 + 1352U);
    t2 = *((char **)t1);
    t1 = (t0 + 5736U);
    t3 = (t0 + 1512U);
    t5 = *((char **)t3);
    t3 = (t0 + 5752U);
    t6 = ieee_p_2592010699_sub_1735675855_503743352(IEEE_P_2592010699, t95, t2, t1, t5, t3);
    t8 = ieee_p_2592010699_sub_1837678034_503743352(IEEE_P_2592010699, t80, t6, t95);
    t9 = (t80 + 12U);
    t87 = *((unsigned int *)t9);
    t88 = (1U * t87);
    t89 = (32U != t88);
    if (t89 == 1)
        goto LAB85;

LAB86:    t11 = (t0 + 3656);
    t12 = (t11 + 56U);
    t14 = *((char **)t12);
    t15 = (t14 + 56U);
    t17 = *((char **)t15);
    memcpy(t17, t8, 32U);
    xsi_driver_first_trans_fast(t11);
    goto LAB2;

LAB18:    xsi_set_current_line(57, ng0);
    t1 = (t0 + 1352U);
    t2 = *((char **)t1);
    t1 = (t0 + 5736U);
    t3 = (t0 + 1512U);
    t5 = *((char **)t3);
    t3 = (t0 + 5752U);
    t6 = ieee_p_2592010699_sub_1735675855_503743352(IEEE_P_2592010699, t95, t2, t1, t5, t3);
    t8 = ieee_p_2592010699_sub_1837678034_503743352(IEEE_P_2592010699, t80, t6, t95);
    t9 = (t80 + 12U);
    t87 = *((unsigned int *)t9);
    t88 = (1U * t87);
    t89 = (32U != t88);
    if (t89 == 1)
        goto LAB87;

LAB88:    t11 = (t0 + 3656);
    t12 = (t11 + 56U);
    t14 = *((char **)t12);
    t15 = (t14 + 56U);
    t17 = *((char **)t15);
    memcpy(t17, t8, 32U);
    xsi_driver_first_trans_fast(t11);
    goto LAB2;

LAB19:    xsi_set_current_line(60, ng0);
    t1 = (t0 + 1352U);
    t2 = *((char **)t1);
    t1 = (t0 + 5736U);
    t3 = (t0 + 1512U);
    t5 = *((char **)t3);
    t3 = (t0 + 5752U);
    t6 = ieee_p_2592010699_sub_1697423399_503743352(IEEE_P_2592010699, t80, t2, t1, t5, t3);
    t8 = (t80 + 12U);
    t87 = *((unsigned int *)t8);
    t88 = (1U * t87);
    t89 = (32U != t88);
    if (t89 == 1)
        goto LAB89;

LAB90:    t9 = (t0 + 3656);
    t11 = (t9 + 56U);
    t12 = *((char **)t11);
    t14 = (t12 + 56U);
    t15 = *((char **)t14);
    memcpy(t15, t6, 32U);
    xsi_driver_first_trans_fast(t9);
    goto LAB2;

LAB20:    xsi_set_current_line(62, ng0);
    t1 = (t0 + 1352U);
    t2 = *((char **)t1);
    t1 = (t0 + 5736U);
    t3 = (t0 + 1512U);
    t5 = *((char **)t3);
    t3 = (t0 + 5752U);
    t6 = ieee_p_2592010699_sub_1697423399_503743352(IEEE_P_2592010699, t80, t2, t1, t5, t3);
    t8 = (t80 + 12U);
    t87 = *((unsigned int *)t8);
    t88 = (1U * t87);
    t89 = (32U != t88);
    if (t89 == 1)
        goto LAB91;

LAB92:    t9 = (t0 + 3656);
    t11 = (t9 + 56U);
    t12 = *((char **)t11);
    t14 = (t12 + 56U);
    t15 = *((char **)t14);
    memcpy(t15, t6, 32U);
    xsi_driver_first_trans_fast(t9);
    goto LAB2;

LAB21:    xsi_set_current_line(64, ng0);
    t1 = (t0 + 1352U);
    t2 = *((char **)t1);
    t1 = (t0 + 5736U);
    t3 = (t0 + 1512U);
    t5 = *((char **)t3);
    t3 = (t0 + 5752U);
    t6 = ieee_p_2592010699_sub_1697423399_503743352(IEEE_P_2592010699, t95, t2, t1, t5, t3);
    t8 = ieee_p_2592010699_sub_1837678034_503743352(IEEE_P_2592010699, t80, t6, t95);
    t9 = (t80 + 12U);
    t87 = *((unsigned int *)t9);
    t88 = (1U * t87);
    t89 = (32U != t88);
    if (t89 == 1)
        goto LAB93;

LAB94:    t11 = (t0 + 3656);
    t12 = (t11 + 56U);
    t14 = *((char **)t12);
    t15 = (t14 + 56U);
    t17 = *((char **)t15);
    memcpy(t17, t8, 32U);
    xsi_driver_first_trans_fast(t11);
    goto LAB2;

LAB22:    xsi_set_current_line(67, ng0);
    t1 = (t0 + 1352U);
    t2 = *((char **)t1);
    t1 = (t0 + 5736U);
    t3 = (t0 + 1512U);
    t5 = *((char **)t3);
    t3 = (t0 + 5752U);
    t6 = ieee_p_2592010699_sub_1697423399_503743352(IEEE_P_2592010699, t95, t2, t1, t5, t3);
    t8 = ieee_p_2592010699_sub_1837678034_503743352(IEEE_P_2592010699, t80, t6, t95);
    t9 = (t80 + 12U);
    t87 = *((unsigned int *)t9);
    t88 = (1U * t87);
    t89 = (32U != t88);
    if (t89 == 1)
        goto LAB95;

LAB96:    t11 = (t0 + 3656);
    t12 = (t11 + 56U);
    t14 = *((char **)t12);
    t15 = (t14 + 56U);
    t17 = *((char **)t15);
    memcpy(t17, t8, 32U);
    xsi_driver_first_trans_fast(t11);
    goto LAB2;

LAB23:    xsi_set_current_line(70, ng0);
    t1 = (t0 + 1352U);
    t2 = *((char **)t1);
    t1 = (t0 + 1512U);
    t3 = *((char **)t1);
    t1 = (t0 + 5752U);
    t4 = ieee_p_3620187407_sub_514432868_3965413181(IEEE_P_3620187407, t3, t1);
    t7 = (31 - t4);
    t87 = (31 - t7);
    xsi_vhdl_check_range_of_slice(31, 0, -1, t7, 0, -1);
    t88 = (t87 * 1U);
    t97 = (0 + t88);
    t5 = (t2 + t97);
    t6 = (t0 + 1512U);
    t8 = *((char **)t6);
    t6 = (t0 + 5752U);
    t10 = ieee_p_3620187407_sub_514432868_3965413181(IEEE_P_3620187407, t8, t6);
    t13 = (t10 - 31);
    t98 = (t13 * -1);
    t98 = (t98 + 1);
    t99 = (1U * t98);
    t9 = (t0 + 1512U);
    t11 = *((char **)t9);
    t9 = (t0 + 5752U);
    t16 = ieee_p_3620187407_sub_514432868_3965413181(IEEE_P_3620187407, t11, t9);
    t19 = (31 - t16);
    t22 = (0 - t19);
    t100 = (t22 * -1);
    t100 = (t100 + 1);
    t101 = (1U * t100);
    t89 = (t99 != t101);
    if (t89 == 1)
        goto LAB97;

LAB98:    t102 = (31 - 31);
    t103 = (1U * t102);
    t104 = (0U + t103);
    t12 = (t0 + 3656);
    t14 = (t12 + 56U);
    t15 = *((char **)t14);
    t17 = (t15 + 56U);
    t18 = *((char **)t17);
    t20 = (t0 + 1512U);
    t21 = *((char **)t20);
    t20 = (t0 + 5752U);
    t25 = ieee_p_3620187407_sub_514432868_3965413181(IEEE_P_3620187407, t21, t20);
    t28 = (t25 - 31);
    t105 = (t28 * -1);
    t105 = (t105 + 1);
    t106 = (1U * t105);
    memcpy(t18, t5, t106);
    t23 = (t0 + 1512U);
    t24 = *((char **)t23);
    t23 = (t0 + 5752U);
    t31 = ieee_p_3620187407_sub_514432868_3965413181(IEEE_P_3620187407, t24, t23);
    t34 = (t31 - 31);
    t107 = (t34 * -1);
    t107 = (t107 + 1);
    t108 = (1U * t107);
    xsi_driver_first_trans_delta(t12, t104, t108, 0LL);
    xsi_set_current_line(71, ng0);
    t1 = (t0 + 1512U);
    t2 = *((char **)t1);
    t1 = (t0 + 5752U);
    t4 = ieee_p_3620187407_sub_514432868_3965413181(IEEE_P_3620187407, t2, t1);
    t7 = (t4 - 1);
    t10 = (0 - t7);
    t87 = (t10 * -1);
    t87 = (t87 + 1);
    t88 = (1U * t87);
    t3 = xsi_get_transient_memory(t88);
    memset(t3, 0, t88);
    t5 = t3;
    memset(t5, (unsigned char)2, t88);
    t6 = (t0 + 1512U);
    t8 = *((char **)t6);
    t6 = (t0 + 5752U);
    t13 = ieee_p_3620187407_sub_514432868_3965413181(IEEE_P_3620187407, t8, t6);
    t16 = (t13 - 1);
    t97 = (31 - t16);
    t98 = (1U * t97);
    t99 = (0U + t98);
    t9 = (t0 + 3656);
    t11 = (t9 + 56U);
    t12 = *((char **)t11);
    t14 = (t12 + 56U);
    t15 = *((char **)t14);
    t17 = (t0 + 1512U);
    t18 = *((char **)t17);
    t17 = (t0 + 5752U);
    t19 = ieee_p_3620187407_sub_514432868_3965413181(IEEE_P_3620187407, t18, t17);
    t22 = (t19 - 1);
    t25 = (0 - t22);
    t100 = (t25 * -1);
    t100 = (t100 + 1);
    t101 = (1U * t100);
    memcpy(t15, t3, t101);
    t20 = (t0 + 1512U);
    t21 = *((char **)t20);
    t20 = (t0 + 5752U);
    t28 = ieee_p_3620187407_sub_514432868_3965413181(IEEE_P_3620187407, t21, t20);
    t31 = (t28 - 1);
    t34 = (0 - t31);
    t102 = (t34 * -1);
    t102 = (t102 + 1);
    t103 = (1U * t102);
    xsi_driver_first_trans_delta(t9, t99, t103, 0LL);
    goto LAB2;

LAB24:    xsi_set_current_line(73, ng0);
    t1 = (t0 + 1352U);
    t2 = *((char **)t1);
    t87 = (31 - 31);
    t1 = (t0 + 1512U);
    t3 = *((char **)t1);
    t1 = (t0 + 5752U);
    t4 = ieee_p_3620187407_sub_514432868_3965413181(IEEE_P_3620187407, t3, t1);
    xsi_vhdl_check_range_of_slice(31, 0, -1, 31, t4, -1);
    t88 = (t87 * 1U);
    t97 = (0 + t88);
    t5 = (t2 + t97);
    t6 = (t0 + 1512U);
    t8 = *((char **)t6);
    t6 = (t0 + 5752U);
    t7 = ieee_p_3620187407_sub_514432868_3965413181(IEEE_P_3620187407, t8, t6);
    t10 = (31 - t7);
    t13 = (0 - t10);
    t98 = (t13 * -1);
    t98 = (t98 + 1);
    t99 = (1U * t98);
    t9 = (t0 + 1512U);
    t11 = *((char **)t9);
    t9 = (t0 + 5752U);
    t16 = ieee_p_3620187407_sub_514432868_3965413181(IEEE_P_3620187407, t11, t9);
    t19 = (t16 - 31);
    t100 = (t19 * -1);
    t100 = (t100 + 1);
    t101 = (1U * t100);
    t89 = (t99 != t101);
    if (t89 == 1)
        goto LAB99;

LAB100:    t12 = (t0 + 1512U);
    t14 = *((char **)t12);
    t12 = (t0 + 5752U);
    t22 = ieee_p_3620187407_sub_514432868_3965413181(IEEE_P_3620187407, t14, t12);
    t25 = (31 - t22);
    t102 = (31 - t25);
    t103 = (1U * t102);
    t104 = (0U + t103);
    t15 = (t0 + 3656);
    t17 = (t15 + 56U);
    t18 = *((char **)t17);
    t20 = (t18 + 56U);
    t21 = *((char **)t20);
    t23 = (t0 + 1512U);
    t24 = *((char **)t23);
    t23 = (t0 + 5752U);
    t28 = ieee_p_3620187407_sub_514432868_3965413181(IEEE_P_3620187407, t24, t23);
    t31 = (31 - t28);
    t34 = (0 - t31);
    t105 = (t34 * -1);
    t105 = (t105 + 1);
    t106 = (1U * t105);
    memcpy(t21, t5, t106);
    t26 = (t0 + 1512U);
    t27 = *((char **)t26);
    t26 = (t0 + 5752U);
    t37 = ieee_p_3620187407_sub_514432868_3965413181(IEEE_P_3620187407, t27, t26);
    t40 = (31 - t37);
    t43 = (0 - t40);
    t107 = (t43 * -1);
    t107 = (t107 + 1);
    t108 = (1U * t107);
    xsi_driver_first_trans_delta(t15, t104, t108, 0LL);
    xsi_set_current_line(74, ng0);
    t1 = (t0 + 1512U);
    t2 = *((char **)t1);
    t1 = (t0 + 5752U);
    t4 = ieee_p_3620187407_sub_514432868_3965413181(IEEE_P_3620187407, t2, t1);
    t7 = (31 - t4);
    t10 = (t7 - 31);
    t87 = (t10 * -1);
    t87 = (t87 + 1);
    t88 = (1U * t87);
    t3 = xsi_get_transient_memory(t88);
    memset(t3, 0, t88);
    t5 = t3;
    memset(t5, (unsigned char)2, t88);
    t97 = (31 - 31);
    t98 = (1U * t97);
    t99 = (0U + t98);
    t6 = (t0 + 3656);
    t8 = (t6 + 56U);
    t9 = *((char **)t8);
    t11 = (t9 + 56U);
    t12 = *((char **)t11);
    t14 = (t0 + 1512U);
    t15 = *((char **)t14);
    t14 = (t0 + 5752U);
    t13 = ieee_p_3620187407_sub_514432868_3965413181(IEEE_P_3620187407, t15, t14);
    t16 = (31 - t13);
    t19 = (t16 - 31);
    t100 = (t19 * -1);
    t100 = (t100 + 1);
    t101 = (1U * t100);
    memcpy(t12, t3, t101);
    t17 = (t0 + 1512U);
    t18 = *((char **)t17);
    t17 = (t0 + 5752U);
    t22 = ieee_p_3620187407_sub_514432868_3965413181(IEEE_P_3620187407, t18, t17);
    t25 = (31 - t22);
    t28 = (t25 - 31);
    t102 = (t28 * -1);
    t102 = (t102 + 1);
    t103 = (1U * t102);
    xsi_driver_first_trans_delta(t6, t99, t103, 0LL);
    goto LAB2;

LAB25:    xsi_set_current_line(76, ng0);
    t1 = (t0 + 1352U);
    t2 = *((char **)t1);
    t87 = (31 - 31);
    t1 = (t0 + 1512U);
    t3 = *((char **)t1);
    t1 = (t0 + 5752U);
    t4 = ieee_p_3620187407_sub_514432868_3965413181(IEEE_P_3620187407, t3, t1);
    xsi_vhdl_check_range_of_slice(31, 0, -1, 31, t4, -1);
    t88 = (t87 * 1U);
    t97 = (0 + t88);
    t5 = (t2 + t97);
    t6 = (t0 + 1512U);
    t8 = *((char **)t6);
    t6 = (t0 + 5752U);
    t7 = ieee_p_3620187407_sub_514432868_3965413181(IEEE_P_3620187407, t8, t6);
    t10 = (31 - t7);
    t13 = (0 - t10);
    t98 = (t13 * -1);
    t98 = (t98 + 1);
    t99 = (1U * t98);
    t9 = (t0 + 1512U);
    t11 = *((char **)t9);
    t9 = (t0 + 5752U);
    t16 = ieee_p_3620187407_sub_514432868_3965413181(IEEE_P_3620187407, t11, t9);
    t19 = (t16 - 31);
    t100 = (t19 * -1);
    t100 = (t100 + 1);
    t101 = (1U * t100);
    t89 = (t99 != t101);
    if (t89 == 1)
        goto LAB101;

LAB102:    t12 = (t0 + 1512U);
    t14 = *((char **)t12);
    t12 = (t0 + 5752U);
    t22 = ieee_p_3620187407_sub_514432868_3965413181(IEEE_P_3620187407, t14, t12);
    t25 = (31 - t22);
    t102 = (31 - t25);
    t103 = (1U * t102);
    t104 = (0U + t103);
    t15 = (t0 + 3656);
    t17 = (t15 + 56U);
    t18 = *((char **)t17);
    t20 = (t18 + 56U);
    t21 = *((char **)t20);
    t23 = (t0 + 1512U);
    t24 = *((char **)t23);
    t23 = (t0 + 5752U);
    t28 = ieee_p_3620187407_sub_514432868_3965413181(IEEE_P_3620187407, t24, t23);
    t31 = (31 - t28);
    t34 = (0 - t31);
    t105 = (t34 * -1);
    t105 = (t105 + 1);
    t106 = (1U * t105);
    memcpy(t21, t5, t106);
    t26 = (t0 + 1512U);
    t27 = *((char **)t26);
    t26 = (t0 + 5752U);
    t37 = ieee_p_3620187407_sub_514432868_3965413181(IEEE_P_3620187407, t27, t26);
    t40 = (31 - t37);
    t43 = (0 - t40);
    t107 = (t43 * -1);
    t107 = (t107 + 1);
    t108 = (1U * t107);
    xsi_driver_first_trans_delta(t15, t104, t108, 0LL);
    xsi_set_current_line(77, ng0);
    t1 = (t0 + 1352U);
    t2 = *((char **)t1);
    t1 = (t0 + 1512U);
    t3 = *((char **)t1);
    t1 = (t0 + 5752U);
    t4 = ieee_p_3620187407_sub_514432868_3965413181(IEEE_P_3620187407, t3, t1);
    t7 = (t4 - 1);
    t87 = (31 - t7);
    xsi_vhdl_check_range_of_slice(31, 0, -1, t7, 0, -1);
    t88 = (t87 * 1U);
    t97 = (0 + t88);
    t5 = (t2 + t97);
    t6 = (t0 + 1512U);
    t8 = *((char **)t6);
    t6 = (t0 + 5752U);
    t10 = ieee_p_3620187407_sub_514432868_3965413181(IEEE_P_3620187407, t8, t6);
    t13 = (31 - t10);
    t16 = (t13 - 31);
    t98 = (t16 * -1);
    t98 = (t98 + 1);
    t99 = (1U * t98);
    t9 = (t0 + 1512U);
    t11 = *((char **)t9);
    t9 = (t0 + 5752U);
    t19 = ieee_p_3620187407_sub_514432868_3965413181(IEEE_P_3620187407, t11, t9);
    t22 = (t19 - 1);
    t25 = (0 - t22);
    t100 = (t25 * -1);
    t100 = (t100 + 1);
    t101 = (1U * t100);
    t89 = (t99 != t101);
    if (t89 == 1)
        goto LAB103;

LAB104:    t102 = (31 - 31);
    t103 = (1U * t102);
    t104 = (0U + t103);
    t12 = (t0 + 3656);
    t14 = (t12 + 56U);
    t15 = *((char **)t14);
    t17 = (t15 + 56U);
    t18 = *((char **)t17);
    t20 = (t0 + 1512U);
    t21 = *((char **)t20);
    t20 = (t0 + 5752U);
    t28 = ieee_p_3620187407_sub_514432868_3965413181(IEEE_P_3620187407, t21, t20);
    t31 = (31 - t28);
    t34 = (t31 - 31);
    t105 = (t34 * -1);
    t105 = (t105 + 1);
    t106 = (1U * t105);
    memcpy(t18, t5, t106);
    t23 = (t0 + 1512U);
    t24 = *((char **)t23);
    t23 = (t0 + 5752U);
    t37 = ieee_p_3620187407_sub_514432868_3965413181(IEEE_P_3620187407, t24, t23);
    t40 = (31 - t37);
    t43 = (t40 - 31);
    t107 = (t43 * -1);
    t107 = (t107 + 1);
    t108 = (1U * t107);
    xsi_driver_first_trans_delta(t12, t104, t108, 0LL);
    goto LAB2;

LAB26:    xsi_set_current_line(79, ng0);
    t1 = (t0 + 1352U);
    t2 = *((char **)t1);
    t1 = (t0 + 5736U);
    t3 = (t0 + 1512U);
    t5 = *((char **)t3);
    t3 = (t0 + 5752U);
    t6 = ieee_p_3620187407_sub_767668596_3965413181(IEEE_P_3620187407, t80, t2, t1, t5, t3);
    t8 = (t80 + 12U);
    t87 = *((unsigned int *)t8);
    t88 = (1U * t87);
    t89 = (32U != t88);
    if (t89 == 1)
        goto LAB105;

LAB106:    t9 = (t0 + 3656);
    t11 = (t9 + 56U);
    t12 = *((char **)t11);
    t14 = (t12 + 56U);
    t15 = *((char **)t14);
    memcpy(t15, t6, 32U);
    xsi_driver_first_trans_fast(t9);
    goto LAB2;

LAB27:    xsi_set_current_line(81, ng0);
    t1 = (t0 + 1352U);
    t2 = *((char **)t1);
    t1 = (t0 + 5736U);
    t3 = (t0 + 1512U);
    t5 = *((char **)t3);
    t3 = (t0 + 5752U);
    t6 = ieee_p_3620187407_sub_767668596_3965413181(IEEE_P_3620187407, t80, t2, t1, t5, t3);
    t8 = (t80 + 12U);
    t87 = *((unsigned int *)t8);
    t88 = (1U * t87);
    t89 = (32U != t88);
    if (t89 == 1)
        goto LAB107;

LAB108:    t9 = (t0 + 3656);
    t11 = (t9 + 56U);
    t12 = *((char **)t11);
    t14 = (t12 + 56U);
    t15 = *((char **)t14);
    memcpy(t15, t6, 32U);
    xsi_driver_first_trans_fast(t9);
    goto LAB2;

LAB28:    xsi_set_current_line(83, ng0);
    t1 = (t0 + 1352U);
    t2 = *((char **)t1);
    t1 = (t0 + 5736U);
    t3 = (t0 + 1512U);
    t5 = *((char **)t3);
    t3 = (t0 + 5752U);
    t6 = ieee_p_3620187407_sub_767668596_3965413181(IEEE_P_3620187407, t80, t2, t1, t5, t3);
    t8 = (t80 + 12U);
    t87 = *((unsigned int *)t8);
    t88 = (1U * t87);
    t89 = (32U != t88);
    if (t89 == 1)
        goto LAB109;

LAB110:    t9 = (t0 + 3656);
    t11 = (t9 + 56U);
    t12 = *((char **)t11);
    t14 = (t12 + 56U);
    t15 = *((char **)t14);
    memcpy(t15, t6, 32U);
    xsi_driver_first_trans_fast(t9);
    goto LAB2;

LAB56:;
LAB57:    xsi_size_not_matching(32U, t88, 0);
    goto LAB58;

LAB59:    xsi_size_not_matching(32U, t88, 0);
    goto LAB60;

LAB61:    xsi_size_not_matching(32U, t88, 0);
    goto LAB62;

LAB63:    xsi_size_not_matching(32U, t88, 0);
    goto LAB64;

LAB65:    xsi_size_not_matching(32U, t88, 0);
    goto LAB66;

LAB67:    xsi_size_not_matching(32U, t88, 0);
    goto LAB68;

LAB69:    xsi_size_not_matching(32U, t88, 0);
    goto LAB70;

LAB71:    xsi_size_not_matching(32U, t88, 0);
    goto LAB72;

LAB73:    xsi_size_not_matching(32U, t88, 0);
    goto LAB74;

LAB75:    xsi_size_not_matching(32U, t88, 0);
    goto LAB76;

LAB77:    xsi_size_not_matching(32U, t88, 0);
    goto LAB78;

LAB79:    xsi_size_not_matching(32U, t88, 0);
    goto LAB80;

LAB81:    xsi_size_not_matching(32U, t88, 0);
    goto LAB82;

LAB83:    xsi_size_not_matching(32U, t88, 0);
    goto LAB84;

LAB85:    xsi_size_not_matching(32U, t88, 0);
    goto LAB86;

LAB87:    xsi_size_not_matching(32U, t88, 0);
    goto LAB88;

LAB89:    xsi_size_not_matching(32U, t88, 0);
    goto LAB90;

LAB91:    xsi_size_not_matching(32U, t88, 0);
    goto LAB92;

LAB93:    xsi_size_not_matching(32U, t88, 0);
    goto LAB94;

LAB95:    xsi_size_not_matching(32U, t88, 0);
    goto LAB96;

LAB97:    xsi_size_not_matching(t99, t101, 0);
    goto LAB98;

LAB99:    xsi_size_not_matching(t99, t101, 0);
    goto LAB100;

LAB101:    xsi_size_not_matching(t99, t101, 0);
    goto LAB102;

LAB103:    xsi_size_not_matching(t99, t101, 0);
    goto LAB104;

LAB105:    xsi_size_not_matching(32U, t88, 0);
    goto LAB106;

LAB107:    xsi_size_not_matching(32U, t88, 0);
    goto LAB108;

LAB109:    xsi_size_not_matching(32U, t88, 0);
    goto LAB110;

}
Exemplo n.º 8
0
int work_a_3649865021_1516540902_sub_1192546324_2134189630(char *t1, char *t2, char *t3)
{
    char t5[24];
    char t27[16];
    int t0;
    char *t6;
    unsigned char t7;
    char *t8;
    char *t9;
    int t10;
    char *t11;
    char *t12;
    int t13;
    char *t14;
    int t15;
    int t16;
    int t17;
    unsigned int t18;
    char *t19;
    int t20;
    int t21;
    char *t22;
    int t23;
    unsigned int t24;
    unsigned int t25;
    char *t26;
    char *t28;
    char *t29;
    int t30;
    char *t31;
    int t32;
    int t33;
    int t34;
    char *t35;
    int t36;
    char *t37;
    int t38;
    unsigned int t39;
    int t40;

LAB0:    t6 = (t5 + 4U);
    t7 = (t2 != 0);
    if (t7 == 1)
        goto LAB3;

LAB2:    t8 = (t5 + 12U);
    *((char **)t8) = t3;
    t9 = (t3 + 0U);
    t10 = *((int *)t9);
    t11 = (t1 + 4008U);
    t12 = *((char **)t11);
    t13 = *((int *)t12);
    t11 = (t1 + 4128U);
    t14 = *((char **)t11);
    t15 = *((int *)t14);
    t16 = (t13 + t15);
    t17 = (t16 - 1);
    t18 = (t10 - t17);
    t11 = (t1 + 4128U);
    t19 = *((char **)t11);
    t20 = *((int *)t19);
    t11 = (t3 + 4U);
    t21 = *((int *)t11);
    t22 = (t3 + 8U);
    t23 = *((int *)t22);
    xsi_vhdl_check_range_of_slice(t10, t21, t23, t17, t20, -1);
    t24 = (t18 * 1U);
    t25 = (0 + t24);
    t26 = (t2 + t25);
    t28 = (t1 + 4008U);
    t29 = *((char **)t28);
    t30 = *((int *)t29);
    t28 = (t1 + 4128U);
    t31 = *((char **)t28);
    t32 = *((int *)t31);
    t33 = (t30 + t32);
    t34 = (t33 - 1);
    t28 = (t1 + 4128U);
    t35 = *((char **)t28);
    t36 = *((int *)t35);
    t28 = (t27 + 0U);
    t37 = (t28 + 0U);
    *((int *)t37) = t34;
    t37 = (t28 + 4U);
    *((int *)t37) = t36;
    t37 = (t28 + 8U);
    *((int *)t37) = -1;
    t38 = (t36 - t34);
    t39 = (t38 * -1);
    t39 = (t39 + 1);
    t37 = (t28 + 12U);
    *((unsigned int *)t37) = t39;
    t40 = ieee_std_logic_arith_conv_integer_unsigned(IEEE_P_3499444699, t26, t27);
    t0 = t40;

LAB1:    return t0;
LAB3:    *((char **)t6) = t2;
    goto LAB2;

LAB4:;
}
char *axi_lite_ipif_v1_01_a_a_1670576609_3306564128_sub_2869948232554888092_229454594(char *t1, char *t2, char *t3, int t4)
{
    char t5[248];
    char t6[24];
    char t7[16];
    char t14[64];
    char t18[32];
    char t26[8];
    char *t0;
    char *t8;
    char *t9;
    int t10;
    unsigned int t11;
    char *t12;
    char *t13;
    char *t15;
    char *t16;
    char *t17;
    char *t19;
    char *t20;
    int t21;
    char *t22;
    int t23;
    char *t24;
    char *t25;
    char *t27;
    char *t28;
    char *t29;
    char *t30;
    char *t31;
    char *t32;
    unsigned char t33;
    char *t34;
    char *t35;
    char *t36;
    int t37;
    int t38;
    int t39;
    char *t40;
    int t41;
    char *t42;
    int t43;
    int t44;
    unsigned int t45;
    char *t46;
    int t47;
    unsigned int t48;
    unsigned int t49;
    char *t50;
    char *t51;
    char *t52;
    unsigned int t53;
    unsigned int t54;
    unsigned int t55;
    int t56;
    unsigned int t57;
    unsigned int t58;

LAB0:    t8 = (t7 + 0U);
    t9 = (t8 + 0U);
    *((int *)t9) = 0;
    t9 = (t8 + 4U);
    *((int *)t9) = 63;
    t9 = (t8 + 8U);
    *((int *)t9) = 1;
    t10 = (63 - 0);
    t11 = (t10 * 1);
    t11 = (t11 + 1);
    t9 = (t8 + 12U);
    *((unsigned int *)t9) = t11;
    t9 = (t5 + 4U);
    t12 = ((IEEE_P_2592010699) + 4000);
    t13 = (t9 + 88U);
    *((char **)t13) = t12;
    t15 = (t9 + 56U);
    *((char **)t15) = t14;
    xsi_type_set_default_value(t12, t14, t7);
    t16 = (t9 + 64U);
    *((char **)t16) = t7;
    t17 = (t9 + 80U);
    *((unsigned int *)t17) = 64U;
    t19 = (t18 + 0U);
    t20 = (t19 + 0U);
    *((int *)t20) = 0;
    t20 = (t19 + 4U);
    *((int *)t20) = 1;
    t20 = (t19 + 8U);
    *((int *)t20) = 1;
    t21 = (1 - 0);
    t11 = (t21 * 1);
    t11 = (t11 + 1);
    t20 = (t19 + 12U);
    *((unsigned int *)t20) = t11;
    t20 = (t18 + 16U);
    t22 = (t20 + 0U);
    *((int *)t22) = 0;
    t22 = (t20 + 4U);
    *((int *)t22) = 3;
    t22 = (t20 + 8U);
    *((int *)t22) = 1;
    t23 = (3 - 0);
    t11 = (t23 * 1);
    t11 = (t11 + 1);
    t22 = (t20 + 12U);
    *((unsigned int *)t22) = t11;
    t22 = (t5 + 124U);
    t24 = (t1 + 21520);
    t25 = (t22 + 88U);
    *((char **)t25) = t24;
    t27 = (t22 + 56U);
    *((char **)t27) = t26;
    xsi_type_set_default_value(t24, t26, 0);
    t28 = (t22 + 64U);
    t29 = (t24 + 72U);
    t30 = *((char **)t29);
    *((char **)t28) = t30;
    t31 = (t22 + 80U);
    *((unsigned int *)t31) = 8U;
    t32 = (t6 + 4U);
    t33 = (t2 != 0);
    if (t33 == 1)
        goto LAB3;

LAB2:    t34 = (t6 + 12U);
    *((char **)t34) = t3;
    t35 = (t6 + 20U);
    *((int *)t35) = t4;
    t36 = (t3 + 12U);
    t11 = *((unsigned int *)t36);
    t37 = (t11 - 1);
    t38 = 0;
    t39 = t37;

LAB4:    if (t38 <= t39)
        goto LAB5;

LAB7:    t8 = (t22 + 56U);
    t12 = *((char **)t8);
    t33 = (8U != 8U);
    if (t33 == 1)
        goto LAB9;

LAB10:    t0 = xsi_get_transient_memory(8U);
    memcpy(t0, t12, 8U);

LAB1:    return t0;
LAB3:    *((char **)t32) = t2;
    goto LAB2;

LAB5:    t40 = (t3 + 0U);
    t41 = *((int *)t40);
    t42 = (t3 + 8U);
    t43 = *((int *)t42);
    t44 = (t38 - t41);
    t45 = (t44 * t43);
    t46 = (t3 + 4U);
    t47 = *((int *)t46);
    xsi_vhdl_check_range_of_index(t41, t47, t43, t38);
    t48 = (64U * t45);
    t49 = (0 + t48);
    t50 = (t2 + t49);
    t51 = (t9 + 56U);
    t52 = *((char **)t51);
    t51 = (t52 + 0);
    memcpy(t51, t50, 64U);
    t8 = (t9 + 56U);
    t12 = *((char **)t8);
    t8 = (t7 + 0U);
    t10 = *((int *)t8);
    t21 = (64 - t4);
    t11 = (t21 - t10);
    t13 = (t7 + 4U);
    t23 = *((int *)t13);
    t15 = (t7 + 8U);
    t37 = *((int *)t15);
    xsi_vhdl_check_range_of_slice(t10, t23, t37, t21, 63, 1);
    t45 = (t11 * 1U);
    t48 = (0 + t45);
    t16 = (t12 + t48);
    t17 = (t22 + 56U);
    t19 = *((char **)t17);
    t41 = (t38 - 0);
    t49 = (t41 * 1);
    xsi_vhdl_check_range_of_index(0, 1, 1, t38);
    t43 = (4 - 1);
    t44 = (t43 - 0);
    t53 = (t44 * 1);
    t53 = (t53 + 1);
    t53 = (t53 * 1U);
    t54 = (t53 * t49);
    t55 = (0 + t54);
    t17 = (t19 + t55);
    t47 = (64 - t4);
    t56 = (63 - t47);
    t57 = (t56 * 1);
    t57 = (t57 + 1);
    t58 = (1U * t57);
    memcpy(t17, t16, t58);

LAB6:    if (t38 == t39)
        goto LAB7;

LAB8:    t10 = (t38 + 1);
    t38 = t10;
    goto LAB4;

LAB9:    xsi_size_not_matching(8U, 8U, 0);
    goto LAB10;

LAB11:;
}
static void work_a_3114533131_1992432545_p_3(char *t0)
{
    char *t1;
    char *t2;
    char *t3;
    int t4;
    int t5;
    int t6;
    int t7;
    unsigned int t8;
    char *t9;
    char *t10;
    int t11;
    int t12;
    int t13;
    int t14;
    unsigned int t15;
    unsigned int t16;
    char *t17;
    char *t18;
    char *t19;
    int t20;
    int t21;
    int t22;
    int t23;
    char *t24;
    char *t25;
    int t26;
    int t27;
    int t28;
    int t29;
    int t30;
    unsigned int t31;
    unsigned int t32;
    unsigned char t33;
    char *t34;
    char *t35;
    char *t36;
    char *t37;
    char *t38;
    char *t39;

LAB0:    xsi_set_current_line(122, ng0);

LAB3:    t1 = (t0 + 6376U);
    t2 = *((char **)t1);
    t1 = (t0 + 6696U);
    t3 = *((char **)t1);
    t1 = (t0 + 23884U);
    t4 = ieee_p_3620187407_sub_514432868_3965413181(IEEE_P_3620187407, t3, t1);
    t5 = (32 * t4);
    t6 = (128 - t5);
    t7 = (t6 - 1);
    t8 = (127 - t7);
    t9 = (t0 + 6696U);
    t10 = *((char **)t9);
    t9 = (t0 + 23884U);
    t11 = ieee_p_3620187407_sub_514432868_3965413181(IEEE_P_3620187407, t10, t9);
    t12 = (t11 + 1);
    t13 = (32 * t12);
    t14 = (128 - t13);
    xsi_vhdl_check_range_of_slice(127, 0, -1, t7, t14, -1);
    t15 = (t8 * 1U);
    t16 = (0 + t15);
    t17 = (t2 + t16);
    t18 = (t0 + 6696U);
    t19 = *((char **)t18);
    t18 = (t0 + 23884U);
    t20 = ieee_p_3620187407_sub_514432868_3965413181(IEEE_P_3620187407, t19, t18);
    t21 = (32 * t20);
    t22 = (128 - t21);
    t23 = (t22 - 1);
    t24 = (t0 + 6696U);
    t25 = *((char **)t24);
    t24 = (t0 + 23884U);
    t26 = ieee_p_3620187407_sub_514432868_3965413181(IEEE_P_3620187407, t25, t24);
    t27 = (t26 + 1);
    t28 = (32 * t27);
    t29 = (128 - t28);
    t30 = (t29 - t23);
    t31 = (t30 * -1);
    t31 = (t31 + 1);
    t32 = (1U * t31);
    t33 = (32U != t32);
    if (t33 == 1)
        goto LAB5;

LAB6:    t34 = (t0 + 12264);
    t35 = (t34 + 56U);
    t36 = *((char **)t35);
    t37 = (t36 + 56U);
    t38 = *((char **)t37);
    memcpy(t38, t17, 32U);
    xsi_driver_first_trans_fast(t34);

LAB2:    t39 = (t0 + 11976);
    *((int *)t39) = 1;

LAB1:    return;
LAB4:    goto LAB2;

LAB5:    xsi_size_not_matching(32U, t32, 0);
    goto LAB6;

}
Exemplo n.º 11
0
int work_a_3649865021_1516540902_sub_2172797774_2134189630(char *t1, char *t2, char *t3)
{
    char t5[24];
    char t9[16];
    char t28[16];
    int t0;
    char *t6;
    unsigned char t7;
    char *t8;
    char *t10;
    int t11;
    char *t12;
    char *t13;
    int t14;
    char *t15;
    int t16;
    int t17;
    int t18;
    unsigned int t19;
    char *t20;
    int t21;
    int t22;
    char *t23;
    int t24;
    unsigned int t25;
    unsigned int t26;
    char *t27;
    char *t29;
    char *t30;
    int t31;
    char *t32;
    int t33;
    int t34;
    int t35;
    char *t36;
    int t37;
    char *t38;
    int t39;
    unsigned int t40;
    int t41;

LAB0:    t6 = (t5 + 4U);
    t7 = (t2 != 0);
    if (t7 == 1)
        goto LAB3;

LAB2:    t8 = (t5 + 12U);
    *((char **)t8) = t3;
    t10 = (t3 + 0U);
    t11 = *((int *)t10);
    t12 = (t1 + 4248U);
    t13 = *((char **)t12);
    t14 = *((int *)t13);
    t12 = (t1 + 4368U);
    t15 = *((char **)t12);
    t16 = *((int *)t15);
    t17 = (t14 + t16);
    t18 = (t17 - 1);
    t19 = (t11 - t18);
    t12 = (t1 + 4368U);
    t20 = *((char **)t12);
    t21 = *((int *)t20);
    t12 = (t3 + 4U);
    t22 = *((int *)t12);
    t23 = (t3 + 8U);
    t24 = *((int *)t23);
    xsi_vhdl_check_range_of_slice(t11, t22, t24, t18, t21, -1);
    t25 = (t19 * 1U);
    t26 = (0 + t25);
    t27 = (t2 + t26);
    t29 = (t1 + 4248U);
    t30 = *((char **)t29);
    t31 = *((int *)t30);
    t29 = (t1 + 4368U);
    t32 = *((char **)t29);
    t33 = *((int *)t32);
    t34 = (t31 + t33);
    t35 = (t34 - 1);
    t29 = (t1 + 4368U);
    t36 = *((char **)t29);
    t37 = *((int *)t36);
    t29 = (t28 + 0U);
    t38 = (t29 + 0U);
    *((int *)t38) = t35;
    t38 = (t29 + 4U);
    *((int *)t38) = t37;
    t38 = (t29 + 8U);
    *((int *)t38) = -1;
    t39 = (t37 - t35);
    t40 = (t39 * -1);
    t40 = (t40 + 1);
    t38 = (t29 + 12U);
    *((unsigned int *)t38) = t40;
    t38 = ieee_p_3499444699_sub_854811550_3536714472(IEEE_P_3499444699, t9, t27, t28, 4);
    t41 = ieee_p_3620187407_sub_514432868_3965413181(IEEE_P_3620187407, t38, t9);
    t0 = t41;

LAB1:    return t0;
LAB3:    *((char **)t6) = t2;
    goto LAB2;

LAB4:;
}
char *unisim_a_2190634934_0762929208_sub_3305813555_1583883554(char *t1, int t2, int t3, char *t4, char *t5)
{
    char t6[248];
    char t7[32];
    char t8[32];
    char t17[16384];
    char t25[16];
    char *t0;
    char *t9;
    char *t10;
    int t11;
    unsigned int t12;
    char *t13;
    int t14;
    char *t15;
    char *t16;
    char *t18;
    char *t19;
    char *t20;
    char *t21;
    char *t22;
    int t23;
    int t24;
    int t26;
    char *t27;
    char *t28;
    int t29;
    unsigned int t30;
    char *t31;
    char *t32;
    char *t33;
    char *t34;
    char *t35;
    char *t36;
    char *t37;
    char *t38;
    char *t39;
    unsigned char t40;
    char *t41;
    int t42;
    int t43;
    int t44;
    char *t45;
    int t46;
    int t47;
    int t48;
    int t49;
    int t50;
    char *t51;
    int t52;
    char *t53;
    int t54;
    unsigned int t55;
    unsigned int t56;
    char *t57;
    char *t58;
    char *t59;
    int t60;
    int t61;
    int t62;
    int t63;
    int t64;
    unsigned int t65;
    unsigned int t66;

LAB0:    t9 = (t8 + 0U);
    t10 = (t9 + 0U);
    *((int *)t10) = 1023;
    t10 = (t9 + 4U);
    *((int *)t10) = 0;
    t10 = (t9 + 8U);
    *((int *)t10) = -1;
    t11 = (0 - 1023);
    t12 = (t11 * -1);
    t12 = (t12 + 1);
    t10 = (t9 + 12U);
    *((unsigned int *)t10) = t12;
    t10 = (t8 + 16U);
    t13 = (t10 + 0U);
    *((int *)t13) = 15;
    t13 = (t10 + 4U);
    *((int *)t13) = 0;
    t13 = (t10 + 8U);
    *((int *)t13) = -1;
    t14 = (0 - 15);
    t12 = (t14 * -1);
    t12 = (t12 + 1);
    t13 = (t10 + 12U);
    *((unsigned int *)t13) = t12;
    t13 = (t6 + 4U);
    t15 = (t1 + 15016);
    t16 = (t13 + 88U);
    *((char **)t16) = t15;
    t18 = (t13 + 56U);
    *((char **)t18) = t17;
    xsi_type_set_default_value(t15, t17, 0);
    t19 = (t13 + 64U);
    t20 = (t15 + 80U);
    t21 = *((char **)t20);
    *((char **)t19) = t21;
    t22 = (t13 + 80U);
    *((unsigned int *)t22) = 16384U;
    t23 = (t3 - 1);
    t24 = (0 - t23);
    t12 = (t24 * -1);
    t12 = (t12 + 1);
    t12 = (t12 * 1U);
    t26 = (t3 - 1);
    t27 = (t25 + 0U);
    t28 = (t27 + 0U);
    *((int *)t28) = t26;
    t28 = (t27 + 4U);
    *((int *)t28) = 0;
    t28 = (t27 + 8U);
    *((int *)t28) = -1;
    t29 = (0 - t26);
    t30 = (t29 * -1);
    t30 = (t30 + 1);
    t28 = (t27 + 12U);
    *((unsigned int *)t28) = t30;
    t28 = (t6 + 124U);
    t31 = ((IEEE_P_2592010699) + 4024);
    t32 = (t28 + 88U);
    *((char **)t32) = t31;
    t33 = (char *)alloca(t12);
    t34 = (t28 + 56U);
    *((char **)t34) = t33;
    xsi_type_set_default_value(t31, t33, t25);
    t35 = (t28 + 64U);
    *((char **)t35) = t25;
    t36 = (t28 + 80U);
    *((unsigned int *)t36) = t12;
    t37 = (t7 + 4U);
    *((int *)t37) = t2;
    t38 = (t7 + 8U);
    *((int *)t38) = t3;
    t39 = (t7 + 12U);
    t40 = (t4 != 0);
    if (t40 == 1)
        goto LAB3;

LAB2:    t41 = (t7 + 20U);
    *((char **)t41) = t5;
    t42 = (t2 - 1);
    t43 = 0;
    t44 = t42;

LAB4:    if (t43 <= t44)
        goto LAB5;

LAB7:    t9 = (t13 + 56U);
    t10 = *((char **)t9);
    t40 = (16384U != 16384U);
    if (t40 == 1)
        goto LAB9;

LAB10:    t0 = xsi_get_transient_memory(16384U);
    memcpy(t0, t10, 16384U);

LAB1:    return t0;
LAB3:    *((char **)t39) = t4;
    goto LAB2;

LAB5:    t45 = (t5 + 0U);
    t46 = *((int *)t45);
    t47 = (t43 * t3);
    t48 = (t3 - 1);
    t49 = (t47 + t48);
    t30 = (t46 - t49);
    t50 = (t43 * t3);
    t51 = (t5 + 4U);
    t52 = *((int *)t51);
    t53 = (t5 + 8U);
    t54 = *((int *)t53);
    xsi_vhdl_check_range_of_slice(t46, t52, t54, t49, t50, -1);
    t55 = (t30 * 1U);
    t56 = (0 + t55);
    t57 = (t4 + t56);
    t58 = (t28 + 56U);
    t59 = *((char **)t58);
    t58 = (t59 + 0);
    t60 = (t43 * t3);
    t61 = (t3 - 1);
    t62 = (t60 + t61);
    t63 = (t43 * t3);
    t64 = (t63 - t62);
    t65 = (t64 * -1);
    t65 = (t65 + 1);
    t66 = (1U * t65);
    memcpy(t58, t57, t66);
    t9 = (t28 + 56U);
    t10 = *((char **)t9);
    t9 = (t13 + 56U);
    t15 = *((char **)t9);
    t11 = (t43 - 1023);
    t12 = (t11 * -1);
    xsi_vhdl_check_range_of_index(1023, 0, -1, t43);
    t30 = (16U * t12);
    t55 = (0 + t30);
    t9 = (t15 + t55);
    t16 = (t25 + 12U);
    t56 = *((unsigned int *)t16);
    t56 = (t56 * 1U);
    memcpy(t9, t10, t56);

LAB6:    if (t43 == t44)
        goto LAB7;

LAB8:    t11 = (t43 + 1);
    t43 = t11;
    goto LAB4;

LAB9:    xsi_size_not_matching(16384U, 16384U, 0);
    goto LAB10;

LAB11:;
}
Exemplo n.º 13
0
static void work_a_3841326888_3212880686_p_0(char *t0)
{
    char t44[16];
    char *t1;
    char *t2;
    unsigned char t3;
    unsigned char t4;
    char *t5;
    int t6;
    int t7;
    char *t8;
    char *t9;
    int t10;
    int t11;
    unsigned int t12;
    unsigned int t13;
    unsigned int t14;
    char *t15;
    unsigned char t16;
    unsigned char t17;
    char *t18;
    char *t19;
    char *t20;
    int t21;
    int t22;
    int t23;
    int t24;
    unsigned int t25;
    unsigned int t26;
    char *t27;
    char *t28;
    char *t29;
    unsigned int t30;
    unsigned int t31;
    unsigned int t32;
    unsigned int t33;
    unsigned int t34;
    int t35;
    unsigned int t36;
    unsigned int t37;
    char *t38;
    int t39;
    int t40;
    int t41;
    unsigned int t42;
    unsigned int t43;

LAB0:    xsi_set_current_line(46, ng0);
    t1 = (t0 + 1032U);
    t2 = *((char **)t1);
    t3 = *((unsigned char *)t2);
    t4 = (t3 == (unsigned char)3);
    if (t4 != 0)
        goto LAB2;

LAB4:
LAB3:    t1 = (t0 + 3792);
    *((int *)t1) = 1;

LAB1:    return;
LAB2:    xsi_set_current_line(47, ng0);
    t1 = (t0 + 6187);
    *((int *)t1) = 46;
    t5 = (t0 + 6191);
    *((int *)t5) = 0;
    t6 = 46;
    t7 = 0;

LAB5:    if (t6 >= t7)
        goto LAB6;

LAB8:    xsi_set_current_line(57, ng0);
    t1 = (t0 + 2128U);
    t2 = *((char **)t1);
    t6 = *((int *)t2);
    t3 = (t6 > 23);
    if (t3 != 0)
        goto LAB14;

LAB16:    xsi_set_current_line(60, ng0);
    t1 = (t0 + 6195);
    t5 = (t0 + 3872);
    t8 = (t5 + 56U);
    t9 = *((char **)t8);
    t15 = (t9 + 56U);
    t18 = *((char **)t15);
    memcpy(t18, t1, 24U);
    xsi_driver_first_trans_fast_port(t5);
    xsi_set_current_line(61, ng0);
    t1 = (t0 + 1192U);
    t2 = *((char **)t1);
    t1 = (t0 + 2128U);
    t5 = *((char **)t1);
    t6 = *((int *)t5);
    t12 = (46 - t6);
    xsi_vhdl_check_range_of_slice(46, 0, -1, t6, 0, -1);
    t13 = (t12 * 1U);
    t14 = (0 + t13);
    t1 = (t2 + t14);
    t8 = (t0 + 2128U);
    t9 = *((char **)t8);
    t7 = *((int *)t9);
    t10 = (23 - t7);
    t11 = (t10 - 23);
    t25 = (t11 * -1);
    t25 = (t25 + 1);
    t26 = (1U * t25);
    t8 = (t0 + 2128U);
    t15 = *((char **)t8);
    t21 = *((int *)t15);
    t22 = (0 - t21);
    t30 = (t22 * -1);
    t30 = (t30 + 1);
    t31 = (1U * t30);
    t3 = (t26 != t31);
    if (t3 == 1)
        goto LAB19;

LAB20:    t32 = (23 - 23);
    t33 = (1U * t32);
    t34 = (0U + t33);
    t8 = (t0 + 3872);
    t18 = (t8 + 56U);
    t19 = *((char **)t18);
    t20 = (t19 + 56U);
    t27 = *((char **)t20);
    t28 = (t0 + 2128U);
    t29 = *((char **)t28);
    t23 = *((int *)t29);
    t24 = (23 - t23);
    t35 = (t24 - 23);
    t36 = (t35 * -1);
    t36 = (t36 + 1);
    t37 = (1U * t36);
    memcpy(t27, t1, t37);
    t28 = (t0 + 2128U);
    t38 = *((char **)t28);
    t39 = *((int *)t38);
    t40 = (23 - t39);
    t41 = (t40 - 23);
    t42 = (t41 * -1);
    t42 = (t42 + 1);
    t43 = (1U * t42);
    xsi_driver_first_trans_delta(t8, t34, t43, 0LL);

LAB15:    xsi_set_current_line(63, ng0);
    t1 = (t0 + 2128U);
    t2 = *((char **)t1);
    t6 = *((int *)t2);
    t7 = (46 - t6);
    t1 = (t0 + 2128U);
    t5 = *((char **)t1);
    t1 = (t5 + 0);
    *((int *)t1) = t7;
    xsi_set_current_line(64, ng0);
    t1 = (t0 + 2128U);
    t2 = *((char **)t1);
    t6 = *((int *)t2);
    t1 = ieee_p_3499444699_sub_2213602152_3536714472(IEEE_P_3499444699, t44, t6, 9);
    t5 = (t0 + 2248U);
    t8 = *((char **)t5);
    t5 = (t8 + 0);
    t9 = (t44 + 12U);
    t12 = *((unsigned int *)t9);
    t12 = (t12 * 1U);
    memcpy(t5, t1, t12);
    xsi_set_current_line(65, ng0);
    t1 = (t0 + 1352U);
    t2 = *((char **)t1);
    t5 = ((IEEE_P_2592010699) + 4024);
    t8 = (t0 + 6012U);
    t1 = xsi_base_array_concat(t1, t44, t5, (char)99, (unsigned char)2, (char)97, t2, t8, (char)101);
    t9 = (t0 + 2488U);
    t15 = *((char **)t9);
    t9 = (t15 + 0);
    t12 = (1U + 8U);
    memcpy(t9, t1, t12);
    xsi_set_current_line(67, ng0);
    t1 = (t0 + 1352U);
    t2 = *((char **)t1);
    t1 = (t0 + 6012U);
    t5 = (t0 + 2248U);
    t8 = *((char **)t5);
    t5 = (t0 + 6076U);
    t9 = ieee_p_3620187407_sub_767740470_3965413181(IEEE_P_3620187407, t44, t2, t1, t8, t5);
    t15 = (t0 + 2368U);
    t18 = *((char **)t15);
    t15 = (t18 + 0);
    t19 = (t44 + 12U);
    t12 = *((unsigned int *)t19);
    t13 = (1U * t12);
    memcpy(t15, t9, t13);
    xsi_set_current_line(68, ng0);
    t1 = (t0 + 2368U);
    t2 = *((char **)t1);
    t12 = (8 - 7);
    t13 = (t12 * 1U);
    t14 = (0 + t13);
    t1 = (t2 + t14);
    t5 = (t0 + 3936);
    t8 = (t5 + 56U);
    t9 = *((char **)t8);
    t15 = (t9 + 56U);
    t18 = *((char **)t15);
    memcpy(t18, t1, 8U);
    xsi_driver_first_trans_fast_port(t5);
    xsi_set_current_line(69, ng0);
    t1 = (t0 + 2368U);
    t2 = *((char **)t1);
    t6 = (8 - 8);
    t12 = (t6 * -1);
    t13 = (1U * t12);
    t14 = (0 + t13);
    t1 = (t2 + t14);
    t3 = *((unsigned char *)t1);
    t4 = (t3 == (unsigned char)3);
    if (t4 != 0)
        goto LAB21;

LAB23:    xsi_set_current_line(70, ng0);
    t1 = (t0 + 4000);
    t2 = (t1 + 56U);
    t5 = *((char **)t2);
    t8 = (t5 + 56U);
    t9 = *((char **)t8);
    *((unsigned char *)t9) = (unsigned char)2;
    xsi_driver_first_trans_fast_port(t1);

LAB22:    goto LAB3;

LAB6:    xsi_set_current_line(48, ng0);
    t8 = (t0 + 1192U);
    t9 = *((char **)t8);
    t8 = (t0 + 6187);
    t10 = *((int *)t8);
    t11 = (t10 - 46);
    t12 = (t11 * -1);
    xsi_vhdl_check_range_of_index(46, 0, -1, *((int *)t8));
    t13 = (1U * t12);
    t14 = (0 + t13);
    t15 = (t9 + t14);
    t16 = *((unsigned char *)t15);
    t17 = (t16 == (unsigned char)3);
    if (t17 != 0)
        goto LAB9;

LAB11:    xsi_set_current_line(52, ng0);
    t1 = (t0 + 2128U);
    t2 = *((char **)t1);
    t1 = (t2 + 0);
    *((int *)t1) = 0;

LAB10:
LAB7:    t1 = (t0 + 6187);
    t6 = *((int *)t1);
    t2 = (t0 + 6191);
    t7 = *((int *)t2);
    if (t6 == t7)
        goto LAB8;

LAB13:    t10 = (t6 + -1);
    t6 = t10;
    t5 = (t0 + 6187);
    *((int *)t5) = t6;
    goto LAB5;

LAB9:    xsi_set_current_line(49, ng0);
    t18 = (t0 + 6187);
    t19 = (t0 + 2128U);
    t20 = *((char **)t19);
    t19 = (t20 + 0);
    *((int *)t19) = *((int *)t18);
    xsi_set_current_line(50, ng0);
    goto LAB8;

LAB12:    goto LAB10;

LAB14:    xsi_set_current_line(58, ng0);
    t1 = (t0 + 1192U);
    t5 = *((char **)t1);
    t1 = (t0 + 2128U);
    t8 = *((char **)t1);
    t7 = *((int *)t8);
    t12 = (46 - t7);
    t1 = (t0 + 2128U);
    t9 = *((char **)t1);
    t10 = *((int *)t9);
    t11 = (t10 - 23);
    xsi_vhdl_check_range_of_slice(46, 0, -1, t7, t11, -1);
    t13 = (t12 * 1U);
    t14 = (0 + t13);
    t1 = (t5 + t14);
    t15 = (t0 + 2128U);
    t18 = *((char **)t15);
    t21 = *((int *)t18);
    t15 = (t0 + 2128U);
    t19 = *((char **)t15);
    t22 = *((int *)t19);
    t23 = (t22 - 23);
    t24 = (t23 - t21);
    t25 = (t24 * -1);
    t25 = (t25 + 1);
    t26 = (1U * t25);
    t4 = (24U != t26);
    if (t4 == 1)
        goto LAB17;

LAB18:    t15 = (t0 + 3872);
    t20 = (t15 + 56U);
    t27 = *((char **)t20);
    t28 = (t27 + 56U);
    t29 = *((char **)t28);
    memcpy(t29, t1, 24U);
    xsi_driver_first_trans_fast_port(t15);
    goto LAB15;

LAB17:    xsi_size_not_matching(24U, t26, 0);
    goto LAB18;

LAB19:    xsi_size_not_matching(t26, t31, 0);
    goto LAB20;

LAB21:    xsi_set_current_line(69, ng0);
    t5 = (t0 + 4000);
    t8 = (t5 + 56U);
    t9 = *((char **)t8);
    t15 = (t9 + 56U);
    t18 = *((char **)t15);
    *((unsigned char *)t18) = (unsigned char)3;
    xsi_driver_first_trans_fast_port(t5);
    goto LAB22;

}
Exemplo n.º 14
0
static void work_a_0372468159_1516540902_p_0(char *t0)
{
    char t15[16];
    char t35[16];
    char t39[16];
    char t44[16];
    char *t1;
    char *t2;
    char *t3;
    int t4;
    unsigned int t5;
    unsigned int t6;
    unsigned int t7;
    int t8;
    int t9;
    int t10;
    int t11;
    int t12;
    int t13;
    unsigned char t14;
    char *t16;
    char *t17;
    char *t18;
    int t19;
    int t20;
    unsigned int t21;
    unsigned int t22;
    unsigned int t23;
    int t24;
    char *t25;
    int t26;
    int t27;
    int t28;
    unsigned int t29;
    unsigned int t30;
    unsigned char t31;
    unsigned char t32;
    unsigned char t33;
    unsigned char t34;
    char *t36;
    char *t37;
    char *t38;
    char *t40;
    char *t41;
    char *t42;
    int t43;
    int t45;
    int t46;
    int t47;
    char *t48;
    char *t49;
    char *t50;
    char *t51;
    int t52;
    int t53;
    unsigned int t54;
    unsigned int t55;
    char *t56;
    char *t57;
    unsigned int t58;
    unsigned int t59;
    unsigned char t60;
    int t61;
    int t62;
    int t63;
    int t64;
    int t65;
    char *t66;
    int t67;
    char *t68;
    char *t69;
    char *t70;
    char *t71;

LAB0:    xsi_set_current_line(79, ng0);
    t1 = (t0 + 592U);
    t2 = *((char **)t1);
    t1 = (t0 + 1244U);
    t3 = *((char **)t1);
    t4 = (8 - 1);
    t5 = (8 - t4);
    t6 = (t5 * 1U);
    t7 = (0 + t6);
    t1 = (t3 + t7);
    memcpy(t1, t2, 8U);
    xsi_set_current_line(80, ng0);
    t1 = (t0 + 1244U);
    t2 = *((char **)t1);
    t4 = (8 - 8);
    t5 = (t4 * -1);
    t6 = (1U * t5);
    t7 = (0 + t6);
    t1 = (t2 + t7);
    *((unsigned char *)t1) = (unsigned char)2;
    xsi_set_current_line(81, ng0);
    t1 = (t0 + 684U);
    t2 = *((char **)t1);
    t1 = (t0 + 1108U);
    t3 = *((char **)t1);
    t1 = (t3 + 0);
    memcpy(t1, t2, 2U);
    xsi_set_current_line(82, ng0);
    t1 = (t0 + 1176U);
    t2 = *((char **)t1);
    t1 = (t2 + 0);
    *((unsigned char *)t1) = (unsigned char)2;
    xsi_set_current_line(83, ng0);
    t4 = (8 - 1);
    t8 = (t4 / 4);
    t1 = (t0 + 4803);
    *((int *)t1) = 0;
    t2 = (t0 + 4807);
    *((int *)t2) = t8;
    t9 = 0;
    t10 = t8;

LAB2:    if (t9 <= t10)
        goto LAB3;

LAB5:    xsi_set_current_line(138, ng0);
    t1 = (t0 + 1108U);
    t2 = *((char **)t1);
    if (1 > 0)
        goto LAB39;

LAB40:    if (-1 == -1)
        goto LAB44;

LAB45:    t4 = 0;

LAB41:    t8 = (t4 - 1);
    t5 = (t8 * -1);
    t6 = (1U * t5);
    t7 = (0 + t6);
    t1 = (t2 + t7);
    t14 = *((unsigned char *)t1);
    t3 = (t0 + 2176);
    t16 = (t3 + 32U);
    t17 = *((char **)t16);
    t18 = (t17 + 40U);
    t25 = *((char **)t18);
    *((unsigned char *)t25) = t14;
    xsi_driver_first_trans_fast_port(t3);
    xsi_set_current_line(139, ng0);
    t1 = (t0 + 1244U);
    t2 = *((char **)t1);
    t4 = (8 - 1);
    t5 = (8 - t4);
    t6 = (t5 * 1U);
    t7 = (0 + t6);
    t1 = (t2 + t7);
    t3 = (t0 + 2212);
    t16 = (t3 + 32U);
    t17 = *((char **)t16);
    t18 = (t17 + 40U);
    t25 = *((char **)t18);
    memcpy(t25, t1, 8U);
    xsi_driver_first_trans_fast_port(t3);
    t1 = (t0 + 2132);
    *((int *)t1) = 1;

LAB1:    return;
LAB3:    xsi_set_current_line(84, ng0);
    t3 = (t0 + 4803);
    t11 = *((int *)t3);
    t12 = (t11 * 4);
    t13 = (8 - t12);
    t14 = (t13 <= 4);
    if (t14 != 0)
        goto LAB6;

LAB8:    xsi_set_current_line(101, ng0);
    t1 = (t0 + 1244U);
    t2 = *((char **)t1);
    t1 = (t0 + 4803);
    t4 = *((int *)t1);
    t8 = (t4 * 4);
    t11 = (t8 + 3);
    t5 = (8 - t11);
    t3 = (t0 + 4803);
    t12 = *((int *)t3);
    t13 = (t12 * 4);
    xsi_vhdl_check_range_of_slice(8, 0, -1, t11, t13, -1);
    t6 = (t5 * 1U);
    t7 = (0 + t6);
    t16 = (t2 + t7);
    t17 = (t0 + 1380U);
    t18 = *((char **)t17);
    t17 = (t18 + 0);
    t25 = (t0 + 4803);
    t19 = *((int *)t25);
    t20 = (t19 * 4);
    t24 = (t20 + 3);
    t36 = (t0 + 4803);
    t26 = *((int *)t36);
    t27 = (t26 * 4);
    t28 = (t27 - t24);
    t21 = (t28 * -1);
    t21 = (t21 + 1);
    t22 = (1U * t21);
    memcpy(t17, t16, t22);
    xsi_set_current_line(102, ng0);
    t1 = ieee_std_logic_arith_conv_unsigned_integer(IEEE_P_3499444699, t15, 0, 5);
    t2 = (t0 + 1312U);
    t3 = *((char **)t2);
    t2 = (t3 + 0);
    t16 = (t15 + 12U);
    t5 = *((unsigned int *)t16);
    t5 = (t5 * 1U);
    memcpy(t2, t1, t5);
    xsi_set_current_line(103, ng0);
    t1 = (t0 + 1108U);
    t2 = *((char **)t1);
    t1 = (t0 + 4803);
    t4 = *((int *)t1);
    t8 = (t4 - 1);
    t5 = (t8 * -1);
    xsi_vhdl_check_range_of_index(1, 0, -1, *((int *)t1));
    t6 = (1U * t5);
    t7 = (0 + t6);
    t3 = (t2 + t7);
    t31 = *((unsigned char *)t3);
    t32 = (t31 == (unsigned char)3);
    if (t32 == 1)
        goto LAB21;

LAB22:    t16 = (t0 + 1380U);
    t17 = *((char **)t16);
    t16 = (t0 + 4744U);
    t18 = ieee_std_logic_arith_conv_unsigned_integer(IEEE_P_3499444699, t15, 9, 4);
    t33 = ieee_std_logic_arith_greater_unsigned_unsigned(IEEE_P_3499444699, t17, t16, t18, t15);
    t14 = t33;

LAB23:    if (t14 != 0)
        goto LAB18;

LAB20:
LAB19:
LAB7:
LAB4:    t1 = (t0 + 4803);
    t9 = *((int *)t1);
    t2 = (t0 + 4807);
    t10 = *((int *)t2);
    if (t9 == t10)
        goto LAB5;

LAB38:    t4 = (t9 + 1);
    t9 = t4;
    t3 = (t0 + 4803);
    *((int *)t3) = t9;
    goto LAB2;

LAB6:    xsi_set_current_line(86, ng0);
    t16 = ieee_std_logic_arith_conv_unsigned_integer(IEEE_P_3499444699, t15, 0, 4);
    t17 = (t0 + 1380U);
    t18 = *((char **)t17);
    t17 = (t18 + 0);
    memcpy(t17, t16, 4U);
    xsi_set_current_line(87, ng0);
    t1 = (t0 + 1244U);
    t2 = *((char **)t1);
    t4 = (8 - 1);
    t5 = (8 - t4);
    t1 = (t0 + 4803);
    t8 = *((int *)t1);
    t11 = (t8 * 4);
    xsi_vhdl_check_range_of_slice(8, 0, -1, t4, t11, -1);
    t6 = (t5 * 1U);
    t7 = (0 + t6);
    t3 = (t2 + t7);
    t16 = (t0 + 1380U);
    t17 = *((char **)t16);
    t12 = (8 - 1);
    t16 = (t0 + 4803);
    t13 = *((int *)t16);
    t19 = (t13 * 4);
    t20 = (t12 - t19);
    t21 = (3 - t20);
    xsi_vhdl_check_range_of_slice(3, 0, -1, t20, 0, -1);
    t22 = (t21 * 1U);
    t23 = (0 + t22);
    t18 = (t17 + t23);
    t24 = (8 - 1);
    t25 = (t0 + 4803);
    t26 = *((int *)t25);
    t27 = (t26 * 4);
    t28 = (t27 - t24);
    t29 = (t28 * -1);
    t29 = (t29 + 1);
    t30 = (1U * t29);
    memcpy(t18, t3, t30);
    xsi_set_current_line(88, ng0);
    t1 = (t0 + 1108U);
    t2 = *((char **)t1);
    t1 = (t0 + 4803);
    t4 = *((int *)t1);
    t8 = (t4 - 1);
    t5 = (t8 * -1);
    xsi_vhdl_check_range_of_index(1, 0, -1, *((int *)t1));
    t6 = (1U * t5);
    t7 = (0 + t6);
    t3 = (t2 + t7);
    t31 = *((unsigned char *)t3);
    t32 = (t31 == (unsigned char)3);
    if (t32 == 1)
        goto LAB12;

LAB13:    t16 = (t0 + 1380U);
    t17 = *((char **)t16);
    t16 = (t0 + 4744U);
    t18 = ieee_std_logic_arith_conv_unsigned_integer(IEEE_P_3499444699, t15, 9, 4);
    t33 = ieee_std_logic_arith_greater_unsigned_unsigned(IEEE_P_3499444699, t17, t16, t18, t15);
    t14 = t33;

LAB14:    if (t14 != 0)
        goto LAB9;

LAB11:
LAB10:    xsi_set_current_line(98, ng0);
    t1 = (t0 + 1244U);
    t2 = *((char **)t1);
    t4 = (8 - 8);
    t5 = (t4 * -1);
    t6 = (1U * t5);
    t7 = (0 + t6);
    t1 = (t2 + t7);
    t14 = *((unsigned char *)t1);
    t3 = (t0 + 1108U);
    t16 = *((char **)t3);
    t3 = (t0 + 4803);
    t8 = *((int *)t3);
    t11 = (t8 - 1);
    t21 = (t11 * -1);
    xsi_vhdl_check_range_of_index(1, 0, -1, *((int *)t3));
    t22 = (1U * t21);
    t23 = (0 + t22);
    t17 = (t16 + t23);
    t31 = *((unsigned char *)t17);
    t32 = ieee_p_2592010699_sub_2545490612_503743352(IEEE_P_2592010699, t14, t31);
    t18 = (t0 + 1108U);
    t25 = *((char **)t18);
    t18 = (t0 + 4803);
    t12 = *((int *)t18);
    t13 = (t12 - 1);
    t29 = (t13 * -1);
    xsi_vhdl_check_range_of_index(1, 0, -1, *((int *)t18));
    t30 = (1U * t29);
    t54 = (0 + t30);
    t36 = (t25 + t54);
    *((unsigned char *)t36) = t32;
    goto LAB7;

LAB9:    xsi_set_current_line(89, ng0);
    t25 = (t0 + 4803);
    t11 = *((int *)t25);
    t12 = (t11 * 4);
    t13 = (8 - t12);
    t34 = (t13 > 2);
    if (t34 != 0)
        goto LAB15;

LAB17:    xsi_set_current_line(93, ng0);
    t1 = (t0 + 1244U);
    t2 = *((char **)t1);
    t4 = (8 - 1);
    t5 = (8 - t4);
    t1 = (t0 + 4803);
    t8 = *((int *)t1);
    t11 = (t8 * 4);
    xsi_vhdl_check_range_of_slice(8, 0, -1, t4, t11, -1);
    t6 = (t5 * 1U);
    t7 = (0 + t6);
    t3 = (t2 + t7);
    t12 = (8 - 1);
    t16 = (t0 + 4803);
    t13 = *((int *)t16);
    t19 = (t13 * 4);
    t17 = (t35 + 0U);
    t18 = (t17 + 0U);
    *((int *)t18) = t12;
    t18 = (t17 + 4U);
    *((int *)t18) = t19;
    t18 = (t17 + 8U);
    *((int *)t18) = -1;
    t20 = (t19 - t12);
    t21 = (t20 * -1);
    t21 = (t21 + 1);
    t18 = (t17 + 12U);
    *((unsigned int *)t18) = t21;
    t18 = (t0 + 4803);
    t24 = *((int *)t18);
    t26 = (t24 * 4);
    t27 = (t26 - 8);
    t21 = (t27 * -1);
    t21 = (t21 + 1);
    t25 = ieee_std_logic_arith_conv_unsigned_integer(IEEE_P_3499444699, t39, 2, ((t21)));
    t36 = ieee_p_3499444699_sub_2254111597_3536714472(IEEE_P_3499444699, t15, t3, t35, t25, t39);
    t37 = (t0 + 1244U);
    t38 = *((char **)t37);
    t22 = (8 - 8);
    t37 = (t0 + 4803);
    t28 = *((int *)t37);
    t43 = (t28 * 4);
    xsi_vhdl_check_range_of_slice(8, 0, -1, 8, t43, -1);
    t23 = (t22 * 1U);
    t29 = (0 + t23);
    t40 = (t38 + t29);
    t41 = (t15 + 12U);
    t30 = *((unsigned int *)t41);
    t54 = (1U * t30);
    memcpy(t40, t36, t54);

LAB16:    goto LAB10;

LAB12:    t14 = (unsigned char)1;
    goto LAB14;

LAB15:    xsi_set_current_line(90, ng0);
    t36 = (t0 + 1244U);
    t37 = *((char **)t36);
    t19 = (8 - 1);
    t21 = (8 - t19);
    t36 = (t0 + 4803);
    t20 = *((int *)t36);
    t24 = (t20 * 4);
    xsi_vhdl_check_range_of_slice(8, 0, -1, t19, t24, -1);
    t22 = (t21 * 1U);
    t23 = (0 + t22);
    t38 = (t37 + t23);
    t26 = (8 - 1);
    t40 = (t0 + 4803);
    t27 = *((int *)t40);
    t28 = (t27 * 4);
    t41 = (t39 + 0U);
    t42 = (t41 + 0U);
    *((int *)t42) = t26;
    t42 = (t41 + 4U);
    *((int *)t42) = t28;
    t42 = (t41 + 8U);
    *((int *)t42) = -1;
    t43 = (t28 - t26);
    t29 = (t43 * -1);
    t29 = (t29 + 1);
    t42 = (t41 + 12U);
    *((unsigned int *)t42) = t29;
    t42 = (t0 + 4803);
    t45 = *((int *)t42);
    t46 = (t45 * 4);
    t47 = (t46 - 8);
    t29 = (t47 * -1);
    t29 = (t29 + 1);
    t48 = ieee_std_logic_arith_conv_unsigned_integer(IEEE_P_3499444699, t44, 6, ((t29)));
    t49 = ieee_p_3499444699_sub_2254111597_3536714472(IEEE_P_3499444699, t35, t38, t39, t48, t44);
    t50 = (t0 + 1244U);
    t51 = *((char **)t50);
    t30 = (8 - 8);
    t50 = (t0 + 4803);
    t52 = *((int *)t50);
    t53 = (t52 * 4);
    xsi_vhdl_check_range_of_slice(8, 0, -1, 8, t53, -1);
    t54 = (t30 * 1U);
    t55 = (0 + t54);
    t56 = (t51 + t55);
    t57 = (t35 + 12U);
    t58 = *((unsigned int *)t57);
    t59 = (1U * t58);
    memcpy(t56, t49, t59);
    goto LAB16;

LAB18:    xsi_set_current_line(104, ng0);
    t11 = (8 - 1);
    t12 = (t11 / 4);
    t25 = (t0 + 4803);
    t36 = (t0 + 4811);
    *((int *)t36) = *((int *)t25);
    t37 = (t0 + 4815);
    *((int *)t37) = t12;
    t13 = *((int *)t25);
    t19 = t12;

LAB24:    if (t13 <= t19)
        goto LAB25;

LAB27:    goto LAB19;

LAB21:    t14 = (unsigned char)1;
    goto LAB23;

LAB25:    xsi_set_current_line(105, ng0);
    t20 = (8 - 1);
    t38 = (t0 + 4811);
    t24 = *((int *)t38);
    t26 = (t24 * 4);
    t27 = (t26 + 3);
    t34 = (t20 > t27);
    if (t34 != 0)
        goto LAB28;

LAB30:    xsi_set_current_line(123, ng0);
    t1 = (t0 + 4811);
    t2 = (t0 + 4803);
    t4 = *((int *)t1);
    t8 = *((int *)t2);
    t14 = (t4 == t8);
    if (t14 != 0)
        goto LAB34;

LAB36:    xsi_set_current_line(127, ng0);
    t1 = (t0 + 1244U);
    t2 = *((char **)t1);
    t4 = (8 - 1);
    t5 = (8 - t4);
    t1 = (t0 + 4811);
    t8 = *((int *)t1);
    t11 = (t8 * 4);
    xsi_vhdl_check_range_of_slice(8, 0, -1, t4, t11, -1);
    t6 = (t5 * 1U);
    t7 = (0 + t6);
    t3 = (t2 + t7);
    t12 = (8 - 1);
    t16 = (t0 + 4811);
    t20 = *((int *)t16);
    t24 = (t20 * 4);
    t17 = (t35 + 0U);
    t18 = (t17 + 0U);
    *((int *)t18) = t12;
    t18 = (t17 + 4U);
    *((int *)t18) = t24;
    t18 = (t17 + 8U);
    *((int *)t18) = -1;
    t26 = (t24 - t12);
    t21 = (t26 * -1);
    t21 = (t21 + 1);
    t18 = (t17 + 12U);
    *((unsigned int *)t18) = t21;
    t18 = (t0 + 1176U);
    t25 = *((char **)t18);
    t14 = *((unsigned char *)t25);
    t18 = (t0 + 4811);
    t27 = *((int *)t18);
    t28 = (t27 * 4);
    t43 = (t28 - 8);
    t21 = (t43 * -1);
    t21 = (t21 + 1);
    t36 = ieee_p_3499444699_sub_2596859466_3536714472(IEEE_P_3499444699, t39, t14, ((t21)));
    t37 = ieee_p_3499444699_sub_2254111597_3536714472(IEEE_P_3499444699, t15, t3, t35, t36, t39);
    t38 = (t0 + 1244U);
    t40 = *((char **)t38);
    t22 = (8 - 8);
    t38 = (t0 + 4811);
    t45 = *((int *)t38);
    t46 = (t45 * 4);
    xsi_vhdl_check_range_of_slice(8, 0, -1, 8, t46, -1);
    t23 = (t22 * 1U);
    t29 = (0 + t23);
    t41 = (t40 + t29);
    t42 = (t15 + 12U);
    t30 = *((unsigned int *)t42);
    t54 = (1U * t30);
    memcpy(t41, t37, t54);

LAB35:    xsi_set_current_line(131, ng0);
    t1 = (t0 + 1244U);
    t2 = *((char **)t1);
    t4 = (8 - 8);
    t5 = (t4 * -1);
    t6 = (1U * t5);
    t7 = (0 + t6);
    t1 = (t2 + t7);
    t14 = *((unsigned char *)t1);
    t3 = (t0 + 1108U);
    t16 = *((char **)t3);
    t3 = (t0 + 4811);
    t8 = *((int *)t3);
    t11 = (t8 - 1);
    t21 = (t11 * -1);
    xsi_vhdl_check_range_of_index(1, 0, -1, *((int *)t3));
    t22 = (1U * t21);
    t23 = (0 + t22);
    t17 = (t16 + t23);
    t31 = *((unsigned char *)t17);
    t32 = ieee_p_2592010699_sub_2545490612_503743352(IEEE_P_2592010699, t14, t31);
    t18 = (t0 + 1108U);
    t25 = *((char **)t18);
    t18 = (t0 + 4811);
    t12 = *((int *)t18);
    t20 = (t12 - 1);
    t29 = (t20 * -1);
    xsi_vhdl_check_range_of_index(1, 0, -1, *((int *)t18));
    t30 = (1U * t29);
    t54 = (0 + t30);
    t36 = (t25 + t54);
    *((unsigned char *)t36) = t32;

LAB29:
LAB26:    t1 = (t0 + 4811);
    t13 = *((int *)t1);
    t2 = (t0 + 4815);
    t19 = *((int *)t2);
    if (t13 == t19)
        goto LAB27;

LAB37:    t4 = (t13 + 1);
    t13 = t4;
    t3 = (t0 + 4811);
    *((int *)t3) = t13;
    goto LAB24;

LAB28:    xsi_set_current_line(108, ng0);
    t40 = (t0 + 4811);
    t41 = (t0 + 4803);
    t28 = *((int *)t40);
    t43 = *((int *)t41);
    t60 = (t28 == t43);
    if (t60 != 0)
        goto LAB31;

LAB33:    xsi_set_current_line(114, ng0);
    t1 = (t0 + 1244U);
    t2 = *((char **)t1);
    t1 = (t0 + 4811);
    t4 = *((int *)t1);
    t8 = (t4 * 4);
    t11 = (t8 + 3);
    t5 = (8 - t11);
    t3 = (t0 + 4811);
    t12 = *((int *)t3);
    t20 = (t12 * 4);
    xsi_vhdl_check_range_of_slice(8, 0, -1, t11, t20, -1);
    t6 = (t5 * 1U);
    t7 = (0 + t6);
    t16 = (t2 + t7);
    t17 = (t0 + 4811);
    t24 = *((int *)t17);
    t26 = (t24 * 4);
    t27 = (t26 + 3);
    t18 = (t0 + 4811);
    t28 = *((int *)t18);
    t43 = (t28 * 4);
    t25 = (t35 + 0U);
    t36 = (t25 + 0U);
    *((int *)t36) = t27;
    t36 = (t25 + 4U);
    *((int *)t36) = t43;
    t36 = (t25 + 8U);
    *((int *)t36) = -1;
    t45 = (t43 - t27);
    t21 = (t45 * -1);
    t21 = (t21 + 1);
    t36 = (t25 + 12U);
    *((unsigned int *)t36) = t21;
    t36 = (t0 + 1176U);
    t37 = *((char **)t36);
    t14 = *((unsigned char *)t37);
    t36 = ieee_p_3499444699_sub_2596859466_3536714472(IEEE_P_3499444699, t39, t14, 5);
    t38 = ieee_p_3499444699_sub_2254111597_3536714472(IEEE_P_3499444699, t15, t16, t35, t36, t39);
    t40 = (t0 + 1312U);
    t41 = *((char **)t40);
    t40 = (t41 + 0);
    t42 = (t15 + 12U);
    t21 = *((unsigned int *)t42);
    t22 = (1U * t21);
    memcpy(t40, t38, t22);
    xsi_set_current_line(116, ng0);
    t1 = (t0 + 1312U);
    t2 = *((char **)t1);
    t4 = (4 - 4);
    t5 = (t4 * -1);
    t6 = (1U * t5);
    t7 = (0 + t6);
    t1 = (t2 + t7);
    t14 = *((unsigned char *)t1);
    t3 = (t0 + 1176U);
    t16 = *((char **)t3);
    t3 = (t16 + 0);
    *((unsigned char *)t3) = t14;
    xsi_set_current_line(117, ng0);
    t1 = (t0 + 1312U);
    t2 = *((char **)t1);
    t5 = (4 - 3);
    t6 = (t5 * 1U);
    t7 = (0 + t6);
    t1 = (t2 + t7);
    t3 = (t0 + 1244U);
    t16 = *((char **)t3);
    t3 = (t0 + 4811);
    t4 = *((int *)t3);
    t8 = (t4 * 4);
    t11 = (t8 + 3);
    t21 = (8 - t11);
    t17 = (t0 + 4811);
    t12 = *((int *)t17);
    t20 = (t12 * 4);
    xsi_vhdl_check_range_of_slice(8, 0, -1, t11, t20, -1);
    t22 = (t21 * 1U);
    t23 = (0 + t22);
    t18 = (t16 + t23);
    memcpy(t18, t1, 4U);

LAB32:    xsi_set_current_line(120, ng0);
    t1 = (t0 + 1312U);
    t2 = *((char **)t1);
    t4 = (4 - 4);
    t5 = (t4 * -1);
    t6 = (1U * t5);
    t7 = (0 + t6);
    t1 = (t2 + t7);
    t14 = *((unsigned char *)t1);
    t3 = (t0 + 1108U);
    t16 = *((char **)t3);
    t3 = (t0 + 4811);
    t8 = *((int *)t3);
    t11 = (t8 - 1);
    t21 = (t11 * -1);
    xsi_vhdl_check_range_of_index(1, 0, -1, *((int *)t3));
    t22 = (1U * t21);
    t23 = (0 + t22);
    t17 = (t16 + t23);
    t31 = *((unsigned char *)t17);
    t32 = ieee_p_2592010699_sub_2545490612_503743352(IEEE_P_2592010699, t14, t31);
    t18 = (t0 + 1108U);
    t25 = *((char **)t18);
    t18 = (t0 + 4811);
    t12 = *((int *)t18);
    t20 = (t12 - 1);
    t29 = (t20 * -1);
    xsi_vhdl_check_range_of_index(1, 0, -1, *((int *)t18));
    t30 = (1U * t29);
    t54 = (0 + t30);
    t36 = (t25 + t54);
    *((unsigned char *)t36) = t32;
    goto LAB29;

LAB31:    xsi_set_current_line(109, ng0);
    t42 = (t0 + 1244U);
    t48 = *((char **)t42);
    t42 = (t0 + 4811);
    t45 = *((int *)t42);
    t46 = (t45 * 4);
    t47 = (t46 + 3);
    t21 = (8 - t47);
    t49 = (t0 + 4811);
    t52 = *((int *)t49);
    t53 = (t52 * 4);
    xsi_vhdl_check_range_of_slice(8, 0, -1, t47, t53, -1);
    t22 = (t21 * 1U);
    t23 = (0 + t22);
    t50 = (t48 + t23);
    t51 = (t0 + 4811);
    t61 = *((int *)t51);
    t62 = (t61 * 4);
    t63 = (t62 + 3);
    t56 = (t0 + 4811);
    t64 = *((int *)t56);
    t65 = (t64 * 4);
    t57 = (t39 + 0U);
    t66 = (t57 + 0U);
    *((int *)t66) = t63;
    t66 = (t57 + 4U);
    *((int *)t66) = t65;
    t66 = (t57 + 8U);
    *((int *)t66) = -1;
    t67 = (t65 - t63);
    t29 = (t67 * -1);
    t29 = (t29 + 1);
    t66 = (t57 + 12U);
    *((unsigned int *)t66) = t29;
    t66 = ieee_std_logic_arith_conv_unsigned_integer(IEEE_P_3499444699, t44, 6, 5);
    t68 = ieee_p_3499444699_sub_2254111597_3536714472(IEEE_P_3499444699, t35, t50, t39, t66, t44);
    t69 = (t0 + 1312U);
    t70 = *((char **)t69);
    t69 = (t70 + 0);
    t71 = (t35 + 12U);
    t29 = *((unsigned int *)t71);
    t30 = (1U * t29);
    memcpy(t69, t68, t30);
    xsi_set_current_line(111, ng0);
    t1 = (t0 + 1312U);
    t2 = *((char **)t1);
    t4 = (4 - 4);
    t5 = (t4 * -1);
    t6 = (1U * t5);
    t7 = (0 + t6);
    t1 = (t2 + t7);
    t14 = *((unsigned char *)t1);
    t3 = (t0 + 1176U);
    t16 = *((char **)t3);
    t3 = (t16 + 0);
    *((unsigned char *)t3) = t14;
    xsi_set_current_line(112, ng0);
    t1 = (t0 + 1312U);
    t2 = *((char **)t1);
    t5 = (4 - 3);
    t6 = (t5 * 1U);
    t7 = (0 + t6);
    t1 = (t2 + t7);
    t3 = (t0 + 1244U);
    t16 = *((char **)t3);
    t3 = (t0 + 4811);
    t4 = *((int *)t3);
    t8 = (t4 * 4);
    t11 = (t8 + 3);
    t21 = (8 - t11);
    t17 = (t0 + 4811);
    t12 = *((int *)t17);
    t20 = (t12 * 4);
    xsi_vhdl_check_range_of_slice(8, 0, -1, t11, t20, -1);
    t22 = (t21 * 1U);
    t23 = (0 + t22);
    t18 = (t16 + t23);
    memcpy(t18, t1, 4U);
    goto LAB32;

LAB34:    xsi_set_current_line(124, ng0);
    t3 = (t0 + 1244U);
    t16 = *((char **)t3);
    t11 = (8 - 1);
    t5 = (8 - t11);
    t3 = (t0 + 4811);
    t12 = *((int *)t3);
    t20 = (t12 * 4);
    xsi_vhdl_check_range_of_slice(8, 0, -1, t11, t20, -1);
    t6 = (t5 * 1U);
    t7 = (0 + t6);
    t17 = (t16 + t7);
    t24 = (8 - 1);
    t18 = (t0 + 4811);
    t26 = *((int *)t18);
    t27 = (t26 * 4);
    t25 = (t35 + 0U);
    t36 = (t25 + 0U);
    *((int *)t36) = t24;
    t36 = (t25 + 4U);
    *((int *)t36) = t27;
    t36 = (t25 + 8U);
    *((int *)t36) = -1;
    t28 = (t27 - t24);
    t21 = (t28 * -1);
    t21 = (t21 + 1);
    t36 = (t25 + 12U);
    *((unsigned int *)t36) = t21;
    t36 = (t0 + 4811);
    t43 = *((int *)t36);
    t45 = (t43 * 4);
    t46 = (t45 - 8);
    t21 = (t46 * -1);
    t21 = (t21 + 1);
    t37 = ieee_std_logic_arith_conv_unsigned_integer(IEEE_P_3499444699, t39, 6, ((t21)));
    t38 = ieee_p_3499444699_sub_2254111597_3536714472(IEEE_P_3499444699, t15, t17, t35, t37, t39);
    t40 = (t0 + 1244U);
    t41 = *((char **)t40);
    t22 = (8 - 8);
    t40 = (t0 + 4811);
    t47 = *((int *)t40);
    t52 = (t47 * 4);
    xsi_vhdl_check_range_of_slice(8, 0, -1, 8, t52, -1);
    t23 = (t22 * 1U);
    t29 = (0 + t23);
    t42 = (t41 + t29);
    t48 = (t15 + 12U);
    t30 = *((unsigned int *)t48);
    t54 = (1U * t30);
    memcpy(t42, t38, t54);
    goto LAB35;

LAB39:    if (-1 == 1)
        goto LAB42;

LAB43:    t4 = 1;
    goto LAB41;

LAB42:    t4 = 0;
    goto LAB41;

LAB44:    t4 = 1;
    goto LAB41;

}
Exemplo n.º 15
0
static void work_a_0519377733_1516540902_p_0(char *t0)
{
    char t7[16];
    char t14[16];
    char t34[16];
    char *t1;
    char *t2;
    char *t3;
    int t4;
    int t5;
    int t6;
    char *t8;
    int t9;
    unsigned int t10;
    unsigned int t11;
    unsigned int t12;
    char *t13;
    int t15;
    char *t16;
    char *t17;
    char *t18;
    int t19;
    int t20;
    unsigned int t21;
    char *t22;
    char *t23;
    char *t24;
    unsigned char t25;
    char *t26;
    char *t27;
    int t28;
    int t29;
    unsigned int t30;
    unsigned int t31;
    unsigned int t32;
    char *t33;
    int t35;
    int t36;
    unsigned int t37;

LAB0:    xsi_set_current_line(83, ng0);
    t1 = (t0 + 592U);
    t2 = *((char **)t1);
    t1 = (t0 + 1108U);
    t3 = *((char **)t1);
    t1 = (t3 + 0);
    memcpy(t1, t2, 8U);
    xsi_set_current_line(85, ng0);
    t4 = (8 - 1);
    t1 = (t0 + 4380);
    *((int *)t1) = t4;
    t2 = (t0 + 4384);
    *((int *)t2) = 0;
    t5 = t4;
    t6 = 0;

LAB2:    if (t5 >= t6)
        goto LAB3;

LAB5:    xsi_set_current_line(107, ng0);
    t1 = (t0 + 1176U);
    t2 = *((char **)t1);
    t1 = (t0 + 2040);
    t3 = (t1 + 32U);
    t8 = *((char **)t3);
    t13 = (t8 + 40U);
    t16 = *((char **)t13);
    memcpy(t16, t2, 8U);
    xsi_driver_first_trans_fast_port(t1);
    xsi_set_current_line(108, ng0);
    t1 = (t0 + 1244U);
    t2 = *((char **)t1);
    t1 = (t0 + 2076);
    t3 = (t1 + 32U);
    t8 = *((char **)t3);
    t13 = (t8 + 40U);
    t16 = *((char **)t13);
    memcpy(t16, t2, 8U);
    xsi_driver_first_trans_fast_port(t1);
    t1 = (t0 + 1996);
    *((int *)t1) = 1;

LAB1:    return;
LAB3:    xsi_set_current_line(88, ng0);
    t3 = (t0 + 1108U);
    t8 = *((char **)t3);
    t9 = (8 - 1);
    t10 = (7 - t9);
    t3 = (t0 + 4380);
    xsi_vhdl_check_range_of_slice(7, 0, -1, t9, *((int *)t3), -1);
    t11 = (t10 * 1U);
    t12 = (0 + t11);
    t13 = (t8 + t12);
    t15 = (8 - 1);
    t16 = (t0 + 4380);
    t17 = (t14 + 0U);
    t18 = (t17 + 0U);
    *((int *)t18) = t15;
    t18 = (t17 + 4U);
    *((int *)t18) = *((int *)t16);
    t18 = (t17 + 8U);
    *((int *)t18) = -1;
    t19 = *((int *)t16);
    t20 = (t19 - t15);
    t21 = (t20 * -1);
    t21 = (t21 + 1);
    t18 = (t17 + 12U);
    *((unsigned int *)t18) = t21;
    t18 = ieee_p_3499444699_sub_2930370427_3536714472(IEEE_P_3499444699, t7, t13, t14, 8);
    t22 = (t7 + 12U);
    t21 = *((unsigned int *)t22);
    t21 = (t21 * 1U);
    t23 = (t0 + 684U);
    t24 = *((char **)t23);
    t23 = ((IEEE_P_2592010699) + 2332);
    t25 = xsi_vhdl_greaterEqual(t23, t18, t21, t24, 8U);
    if (t25 != 0)
        goto LAB6;

LAB8:    xsi_set_current_line(102, ng0);
    t1 = (t0 + 1244U);
    t2 = *((char **)t1);
    t1 = (t0 + 4380);
    t4 = *((int *)t1);
    t9 = (t4 - 7);
    t10 = (t9 * -1);
    xsi_vhdl_check_range_of_index(7, 0, -1, *((int *)t1));
    t11 = (1U * t10);
    t12 = (0 + t11);
    t3 = (t2 + t12);
    *((unsigned char *)t3) = (unsigned char)2;
    xsi_set_current_line(103, ng0);
    t1 = (t0 + 1108U);
    t2 = *((char **)t1);
    t4 = (8 - 1);
    t10 = (7 - t4);
    t1 = (t0 + 4380);
    xsi_vhdl_check_range_of_slice(7, 0, -1, t4, *((int *)t1), -1);
    t11 = (t10 * 1U);
    t12 = (0 + t11);
    t3 = (t2 + t12);
    t9 = (8 - 1);
    t8 = (t0 + 4380);
    t13 = (t14 + 0U);
    t16 = (t13 + 0U);
    *((int *)t16) = t9;
    t16 = (t13 + 4U);
    *((int *)t16) = *((int *)t8);
    t16 = (t13 + 8U);
    *((int *)t16) = -1;
    t15 = *((int *)t8);
    t19 = (t15 - t9);
    t21 = (t19 * -1);
    t21 = (t21 + 1);
    t16 = (t13 + 12U);
    *((unsigned int *)t16) = t21;
    t16 = ieee_std_logic_arith_conv_unsigned_zeroext(IEEE_P_3499444699, t7, t3, t14, 8);
    t17 = (t0 + 1176U);
    t18 = *((char **)t17);
    t17 = (t18 + 0);
    t22 = (t7 + 12U);
    t21 = *((unsigned int *)t22);
    t21 = (t21 * 1U);
    memcpy(t17, t16, t21);

LAB7:
LAB4:    t1 = (t0 + 4380);
    t5 = *((int *)t1);
    t2 = (t0 + 4384);
    t6 = *((int *)t2);
    if (t5 == t6)
        goto LAB5;

LAB12:    t4 = (t5 + -1);
    t5 = t4;
    t3 = (t0 + 4380);
    *((int *)t3) = t5;
    goto LAB2;

LAB6:    xsi_set_current_line(91, ng0);
    t26 = (t0 + 1244U);
    t27 = *((char **)t26);
    t26 = (t0 + 4380);
    t28 = *((int *)t26);
    t29 = (t28 - 7);
    t30 = (t29 * -1);
    xsi_vhdl_check_range_of_index(7, 0, -1, *((int *)t26));
    t31 = (1U * t30);
    t32 = (0 + t31);
    t33 = (t27 + t32);
    *((unsigned char *)t33) = (unsigned char)3;
    xsi_set_current_line(92, ng0);
    t1 = (t0 + 1108U);
    t2 = *((char **)t1);
    t4 = (8 - 1);
    t10 = (7 - t4);
    t1 = (t0 + 4380);
    xsi_vhdl_check_range_of_slice(7, 0, -1, t4, *((int *)t1), -1);
    t11 = (t10 * 1U);
    t12 = (0 + t11);
    t3 = (t2 + t12);
    t9 = (8 - 1);
    t8 = (t0 + 4380);
    t13 = (t34 + 0U);
    t16 = (t13 + 0U);
    *((int *)t16) = t9;
    t16 = (t13 + 4U);
    *((int *)t16) = *((int *)t8);
    t16 = (t13 + 8U);
    *((int *)t16) = -1;
    t15 = *((int *)t8);
    t19 = (t15 - t9);
    t21 = (t19 * -1);
    t21 = (t21 + 1);
    t16 = (t13 + 12U);
    *((unsigned int *)t16) = t21;
    t16 = ieee_std_logic_arith_conv_unsigned_zeroext(IEEE_P_3499444699, t14, t3, t34, 8);
    t17 = (t0 + 684U);
    t18 = *((char **)t17);
    t17 = (t0 + 4232U);
    t22 = ieee_p_3499444699_sub_2254183471_3536714472(IEEE_P_3499444699, t7, t16, t14, t18, t17);
    t23 = (t0 + 1176U);
    t24 = *((char **)t23);
    t23 = (t24 + 0);
    t26 = (t7 + 12U);
    t21 = *((unsigned int *)t26);
    t30 = (1U * t21);
    memcpy(t23, t22, t30);
    xsi_set_current_line(96, ng0);
    t1 = (t0 + 4380);
    t4 = *((int *)t1);
    t25 = (t4 != 0);
    if (t25 != 0)
        goto LAB9;

LAB11:
LAB10:    goto LAB7;

LAB9:    xsi_set_current_line(97, ng0);
    t2 = (t0 + 1176U);
    t3 = *((char **)t2);
    t9 = (8 - 1);
    t2 = (t0 + 4380);
    t15 = *((int *)t2);
    t19 = (t9 - t15);
    t10 = (7 - t19);
    xsi_vhdl_check_range_of_slice(7, 0, -1, t19, 0, -1);
    t11 = (t10 * 1U);
    t12 = (0 + t11);
    t8 = (t3 + t12);
    t13 = (t0 + 1108U);
    t16 = *((char **)t13);
    t20 = (8 - 1);
    t21 = (7 - t20);
    t13 = (t0 + 4380);
    xsi_vhdl_check_range_of_slice(7, 0, -1, t20, *((int *)t13), -1);
    t30 = (t21 * 1U);
    t31 = (0 + t30);
    t17 = (t16 + t31);
    t28 = (8 - 1);
    t18 = (t0 + 4380);
    t29 = *((int *)t18);
    t35 = (t28 - t29);
    t36 = (0 - t35);
    t32 = (t36 * -1);
    t32 = (t32 + 1);
    t37 = (1U * t32);
    memcpy(t17, t8, t37);
    xsi_set_current_line(98, ng0);
    t1 = (t0 + 592U);
    t2 = *((char **)t1);
    t1 = (t0 + 4380);
    t4 = *((int *)t1);
    t9 = (t4 - 1);
    t15 = (t9 - 7);
    t10 = (t15 * -1);
    xsi_vhdl_check_range_of_index(7, 0, -1, t9);
    t11 = (1U * t10);
    t12 = (0 + t11);
    t3 = (t2 + t12);
    t25 = *((unsigned char *)t3);
    t8 = (t0 + 1108U);
    t13 = *((char **)t8);
    t8 = (t0 + 4380);
    t19 = *((int *)t8);
    t20 = (t19 - 1);
    t28 = (t20 - 7);
    t21 = (t28 * -1);
    xsi_vhdl_check_range_of_index(7, 0, -1, t20);
    t30 = (1U * t21);
    t31 = (0 + t30);
    t16 = (t13 + t31);
    *((unsigned char *)t16) = t25;
    goto LAB10;

}
static void work_a_2242539549_3212880686_p_0(char *t0)
{
    char t14[16];
    char t15[16];
    char t24[16];
    char t39[16];
    char t90[16];
    char t91[16];
    char t92[16];
    char t93[16];
    char t94[16];
    char t95[16];
    char t96[16];
    char t97[16];
    char t98[16];
    char t101[16];
    char t103[16];
    char t106[16];
    char t110[16];
    char t112[16];
    char t115[16];
    char t119[16];
    char t121[16];
    char t125[16];
    char t132[16];
    char t137[16];
    char t142[16];
    char t149[16];
    char t154[16];
    char t159[16];
    char t166[16];
    char t175[16];
    char *t1;
    unsigned char t2;
    char *t3;
    char *t4;
    unsigned char t5;
    unsigned char t6;
    char *t7;
    int t8;
    int t9;
    int t10;
    char *t11;
    int t12;
    int t13;
    char *t16;
    char *t17;
    int t18;
    int t19;
    unsigned int t20;
    unsigned int t21;
    unsigned int t22;
    char *t23;
    char *t25;
    char *t26;
    int t27;
    unsigned int t28;
    char *t29;
    char *t30;
    int t31;
    int t32;
    int t33;
    int t34;
    int t35;
    unsigned int t36;
    unsigned int t37;
    char *t38;
    char *t40;
    char *t41;
    int t42;
    unsigned int t43;
    char *t44;
    char *t45;
    int t46;
    int t47;
    int t48;
    char *t49;
    char *t50;
    int t51;
    int t52;
    int t53;
    int t54;
    int t55;
    unsigned int t56;
    unsigned int t57;
    unsigned int t58;
    char *t59;
    char *t60;
    char *t61;
    char *t62;
    char *t63;
    int t64;
    int t65;
    int t66;
    int t67;
    int t68;
    int t69;
    int t70;
    int t71;
    int t72;
    int t73;
    int t74;
    char *t75;
    int t76;
    char *t77;
    char *t78;
    int t79;
    int t80;
    int t81;
    char *t82;
    int t83;
    int t84;
    char *t85;
    char *t86;
    char *t87;
    char *t88;
    char *t89;
    unsigned int t99;
    unsigned int t100;
    unsigned int t102;
    unsigned int t104;
    unsigned int t105;
    unsigned int t107;
    unsigned int t108;
    unsigned int t109;
    unsigned int t111;
    unsigned int t113;
    unsigned int t114;
    unsigned int t116;
    unsigned int t117;
    unsigned int t118;
    unsigned int t120;
    char *t122;
    unsigned int t123;
    unsigned int t124;
    char *t126;
    char *t127;
    unsigned int t128;
    char *t129;
    unsigned int t130;
    unsigned int t131;
    char *t133;
    char *t134;
    unsigned int t135;
    char *t136;
    char *t138;
    char *t139;
    unsigned int t140;
    unsigned int t141;
    char *t143;
    char *t144;
    unsigned int t145;
    char *t146;
    unsigned int t147;
    unsigned int t148;
    char *t150;
    char *t151;
    unsigned int t152;
    char *t153;
    char *t155;
    char *t156;
    unsigned int t157;
    unsigned int t158;
    char *t160;
    char *t161;
    unsigned int t162;
    char *t163;
    unsigned int t164;
    unsigned int t165;
    char *t167;
    char *t168;
    unsigned int t169;
    char *t170;
    char *t171;
    char *t172;
    unsigned int t173;
    unsigned int t174;
    char *t176;
    char *t177;
    unsigned int t178;
    char *t179;
    char *t180;
    char *t181;
    char *t182;
    char *t183;
    char *t184;

LAB0:
    xsi_set_current_line(43, ng0);
    t1 = (t0 + 992U);
    t2 = ieee_p_2592010699_sub_2763492388968962707_503743352(IEEE_P_2592010699, t1, 0U, 0U);
    if (t2 != 0)
        goto LAB2;

LAB4:
LAB3:
    t1 = (t0 + 4472);
    *((int *)t1) = 1;

LAB1:
    return;
LAB2:
    xsi_set_current_line(45, ng0);
    t3 = (t0 + 1192U);
    t4 = *((char **)t3);
    t5 = *((unsigned char *)t4);
    t6 = (t5 == (unsigned char)3);
    if (t6 != 0)
        goto LAB5;

LAB7:
LAB6:
    xsi_set_current_line(53, ng0);
    t1 = (t0 + 1192U);
    t3 = *((char **)t1);
    t2 = *((unsigned char *)t3);
    t1 = (t0 + 4632);
    t4 = (t1 + 56U);
    t7 = *((char **)t4);
    t11 = (t7 + 56U);
    t16 = *((char **)t11);
    *((unsigned char *)t16) = t2;
    xsi_driver_first_trans_fast(t1);
    xsi_set_current_line(54, ng0);
    t1 = (t0 + 2152U);
    t3 = *((char **)t1);
    t2 = *((unsigned char *)t3);
    t5 = (t2 == (unsigned char)3);
    if (t5 != 0)
        goto LAB13;

LAB15:
LAB14:
    xsi_set_current_line(70, ng0);
    t1 = (t0 + 2152U);
    t3 = *((char **)t1);
    t2 = *((unsigned char *)t3);
    t1 = (t0 + 4760);
    t4 = (t1 + 56U);
    t7 = *((char **)t4);
    t11 = (t7 + 56U);
    t16 = *((char **)t11);
    *((unsigned char *)t16) = t2;
    xsi_driver_first_trans_fast(t1);
    xsi_set_current_line(72, ng0);
    t1 = (t0 + 2472U);
    t3 = *((char **)t1);
    t2 = *((unsigned char *)t3);
    t5 = (t2 == (unsigned char)3);
    if (t5 != 0)
        goto LAB21;

LAB23:
LAB22:
    xsi_set_current_line(85, ng0);
    t1 = (t0 + 2472U);
    t3 = *((char **)t1);
    t2 = *((unsigned char *)t3);
    t1 = (t0 + 4888);
    t4 = (t1 + 56U);
    t7 = *((char **)t4);
    t11 = (t7 + 56U);
    t16 = *((char **)t11);
    *((unsigned char *)t16) = t2;
    xsi_driver_first_trans_fast_port(t1);
    goto LAB3;

LAB5:
    xsi_set_current_line(47, ng0);
    t3 = ((WORK_P_0014510338) + 2608U);
    t7 = *((char **)t3);
    t8 = *((int *)t7);
    t9 = (t8 - 1);
    t10 = (t9 - 1);
    t3 = (t0 + 8857);
    *((int *)t3) = 0;
    t11 = (t0 + 8861);
    *((int *)t11) = t10;
    t12 = 0;
    t13 = t10;

LAB8:
    if (t12 <= t13)
        goto LAB9;

LAB11:
    xsi_set_current_line(51, ng0);
    t1 = (t0 + 1512U);
    t3 = *((char **)t1);
    t1 = ((WORK_P_0014510338) + 2608U);
    t4 = *((char **)t1);
    t8 = *((int *)t4);
    t9 = (t8 - 1);
    t10 = (t9 - 28);
    t20 = (t10 * -1);
    t21 = (8U * t20);
    t22 = (0 + t21);
    t1 = (t3 + t22);
    t7 = (t15 + 0U);
    t11 = (t7 + 0U);
    *((int *)t11) = 7;
    t11 = (t7 + 4U);
    *((int *)t11) = 0;
    t11 = (t7 + 8U);
    *((int *)t11) = -1;
    t12 = (0 - 7);
    t28 = (t12 * -1);
    t28 = (t28 + 1);
    t11 = (t7 + 12U);
    *((unsigned int *)t11) = t28;
    t11 = ((WORK_P_0014510338) + 1888U);
    t16 = *((char **)t11);
    t13 = *((int *)t16);
    t18 = (t13 - 1);
    t19 = (0 - t18);
    t28 = (t19 * -1);
    t28 = (t28 + 1);
    t11 = ieee_p_1242562249_sub_2749763749646623249_1035706684(IEEE_P_1242562249, t14, t1, t15, ((t28)));
    t17 = (t0 + 4568);
    t23 = (t17 + 56U);
    t25 = *((char **)t23);
    t26 = (t25 + 56U);
    t29 = *((char **)t26);
    memcpy(t29, t11, 9U);
    xsi_driver_first_trans_delta(t17, 126U, 9U, 0LL);
    goto LAB6;

LAB9:
    xsi_set_current_line(48, ng0);
    t16 = (t0 + 1512U);
    t17 = *((char **)t16);
    t16 = (t0 + 8857);
    t18 = *((int *)t16);
    t19 = (t18 - 28);
    t20 = (t19 * -1);
    xsi_vhdl_check_range_of_index(28, 0, -1, *((int *)t16));
    t21 = (8U * t20);
    t22 = (0 + t21);
    t23 = (t17 + t22);
    t25 = (t24 + 0U);
    t26 = (t25 + 0U);
    *((int *)t26) = 7;
    t26 = (t25 + 4U);
    *((int *)t26) = 0;
    t26 = (t25 + 8U);
    *((int *)t26) = -1;
    t27 = (0 - 7);
    t28 = (t27 * -1);
    t28 = (t28 + 1);
    t26 = (t25 + 12U);
    *((unsigned int *)t26) = t28;
    t26 = (t0 + 1512U);
    t29 = *((char **)t26);
    t26 = ((WORK_P_0014510338) + 2488U);
    t30 = *((char **)t26);
    t31 = *((int *)t30);
    t32 = (t31 - 1);
    t26 = (t0 + 8857);
    t33 = *((int *)t26);
    t34 = (t32 - t33);
    t35 = (t34 - 28);
    t28 = (t35 * -1);
    xsi_vhdl_check_range_of_index(28, 0, -1, t34);
    t36 = (8U * t28);
    t37 = (0 + t36);
    t38 = (t29 + t37);
    t40 = (t39 + 0U);
    t41 = (t40 + 0U);
    *((int *)t41) = 7;
    t41 = (t40 + 4U);
    *((int *)t41) = 0;
    t41 = (t40 + 8U);
    *((int *)t41) = -1;
    t42 = (0 - 7);
    t43 = (t42 * -1);
    t43 = (t43 + 1);
    t41 = (t40 + 12U);
    *((unsigned int *)t41) = t43;
    t41 = ieee_p_1242562249_sub_1701011461141717515_1035706684(IEEE_P_1242562249, t15, t23, t24, t38, t39);
    t44 = ((WORK_P_0014510338) + 1888U);
    t45 = *((char **)t44);
    t46 = *((int *)t45);
    t47 = (t46 - 1);
    t48 = (0 - t47);
    t43 = (t48 * -1);
    t43 = (t43 + 1);
    t44 = ieee_p_1242562249_sub_2749763749646623249_1035706684(IEEE_P_1242562249, t14, t41, t15, ((t43)));
    t49 = ((WORK_P_0014510338) + 2608U);
    t50 = *((char **)t49);
    t51 = *((int *)t50);
    t52 = (t51 - 1);
    t49 = (t0 + 8857);
    t53 = *((int *)t49);
    t54 = (t52 - t53);
    t55 = (t54 - 14);
    t56 = (t55 * -1);
    t57 = (9U * t56);
    t58 = (0U + t57);
    t59 = (t0 + 4568);
    t60 = (t59 + 56U);
    t61 = *((char **)t60);
    t62 = (t61 + 56U);
    t63 = *((char **)t62);
    memcpy(t63, t44, 9U);
    xsi_driver_first_trans_delta(t59, t58, 9U, 0LL);

LAB10:
    t1 = (t0 + 8857);
    t12 = *((int *)t1);
    t3 = (t0 + 8861);
    t13 = *((int *)t3);
    if (t12 == t13)
        goto LAB11;

LAB12:
    t8 = (t12 + 1);
    t12 = t8;
    t4 = (t0 + 8857);
    *((int *)t4) = t12;
    goto LAB8;

LAB13:
    xsi_set_current_line(57, ng0);
    t1 = (t0 + 1352U);
    t4 = *((char **)t1);
    t1 = (t0 + 2928U);
    t7 = *((char **)t1);
    t1 = (t7 + 0);
    memcpy(t1, t4, 180U);
    xsi_set_current_line(58, ng0);
    t1 = ((WORK_P_0014510338) + 2608U);
    t3 = *((char **)t1);
    t8 = *((int *)t3);
    t9 = (t8 - 1);
    t1 = (t0 + 8865);
    *((int *)t1) = 0;
    t4 = (t0 + 8869);
    *((int *)t4) = t9;
    t10 = 0;
    t12 = t9;

LAB16:
    if (t10 <= t12)
        goto LAB17;

LAB19:
    goto LAB14;

LAB17:
    xsi_set_current_line(59, ng0);
    t7 = (t0 + 1992U);
    t11 = *((char **)t7);
    t7 = (t0 + 8865);
    t13 = *((int *)t7);
    t18 = (t13 - 14);
    t20 = (t18 * -1);
    xsi_vhdl_check_range_of_index(14, 0, -1, *((int *)t7));
    t21 = (9U * t20);
    t22 = (0 + t21);
    t16 = (t11 + t22);
    t17 = (t24 + 0U);
    t23 = (t17 + 0U);
    *((int *)t23) = 8;
    t23 = (t17 + 4U);
    *((int *)t23) = 0;
    t23 = (t17 + 8U);
    *((int *)t23) = -1;
    t19 = (0 - 8);
    t28 = (t19 * -1);
    t28 = (t28 + 1);
    t23 = (t17 + 12U);
    *((unsigned int *)t23) = t28;
    t23 = (t0 + 2928U);
    t25 = *((char **)t23);
    t23 = ((WORK_P_0014510338) + 2248U);
    t26 = *((char **)t23);
    t27 = *((int *)t26);
    t23 = ((WORK_P_0014510338) + 2608U);
    t29 = *((char **)t23);
    t31 = *((int *)t29);
    t23 = (t0 + 8865);
    t32 = *((int *)t23);
    t33 = (t31 - t32);
    t34 = (t27 * t33);
    t35 = (t34 - 1);
    t28 = (179 - t35);
    t30 = ((WORK_P_0014510338) + 2248U);
    t38 = *((char **)t30);
    t42 = *((int *)t38);
    t30 = ((WORK_P_0014510338) + 2608U);
    t40 = *((char **)t30);
    t46 = *((int *)t40);
    t30 = (t0 + 8865);
    t47 = *((int *)t30);
    t48 = (t46 - t47);
    t51 = (t42 * t48);
    t41 = ((WORK_P_0014510338) + 2248U);
    t44 = *((char **)t41);
    t52 = *((int *)t44);
    t53 = (t51 - t52);
    xsi_vhdl_check_range_of_slice(179, 0, -1, t35, t53, -1);
    t36 = (t28 * 1U);
    t37 = (0 + t36);
    t41 = (t25 + t37);
    t45 = ((WORK_P_0014510338) + 2248U);
    t49 = *((char **)t45);
    t54 = *((int *)t49);
    t45 = ((WORK_P_0014510338) + 2608U);
    t50 = *((char **)t45);
    t55 = *((int *)t50);
    t45 = (t0 + 8865);
    t64 = *((int *)t45);
    t65 = (t55 - t64);
    t66 = (t54 * t65);
    t67 = (t66 - 1);
    t59 = ((WORK_P_0014510338) + 2248U);
    t60 = *((char **)t59);
    t68 = *((int *)t60);
    t59 = ((WORK_P_0014510338) + 2608U);
    t61 = *((char **)t59);
    t69 = *((int *)t61);
    t59 = (t0 + 8865);
    t70 = *((int *)t59);
    t71 = (t69 - t70);
    t72 = (t68 * t71);
    t62 = ((WORK_P_0014510338) + 2248U);
    t63 = *((char **)t62);
    t73 = *((int *)t63);
    t74 = (t72 - t73);
    t62 = (t39 + 0U);
    t75 = (t62 + 0U);
    *((int *)t75) = t67;
    t75 = (t62 + 4U);
    *((int *)t75) = t74;
    t75 = (t62 + 8U);
    *((int *)t75) = -1;
    t76 = (t74 - t67);
    t43 = (t76 * -1);
    t43 = (t43 + 1);
    t75 = (t62 + 12U);
    *((unsigned int *)t75) = t43;
    t75 = ieee_p_1242562249_sub_2177518728674633585_1035706684(IEEE_P_1242562249, t15, t16, t24, t41, t39);
    t77 = ((WORK_P_0014510338) + 2008U);
    t78 = *((char **)t77);
    t79 = *((int *)t78);
    t80 = (t79 - 1);
    t81 = (0 - t80);
    t43 = (t81 * -1);
    t43 = (t43 + 1);
    t77 = ieee_p_1242562249_sub_2749763749646623249_1035706684(IEEE_P_1242562249, t14, t75, t15, ((t43)));
    t82 = (t0 + 8865);
    t83 = *((int *)t82);
    t84 = (t83 - 14);
    t56 = (t84 * -1);
    t57 = (18U * t56);
    t58 = (0U + t57);
    t85 = (t0 + 4696);
    t86 = (t85 + 56U);
    t87 = *((char **)t86);
    t88 = (t87 + 56U);
    t89 = *((char **)t88);
    memcpy(t89, t77, 18U);
    xsi_driver_first_trans_delta(t85, t58, 18U, 0LL);

LAB18:
    t1 = (t0 + 8865);
    t10 = *((int *)t1);
    t3 = (t0 + 8869);
    t12 = *((int *)t3);
    if (t10 == t12)
        goto LAB19;

LAB20:
    t8 = (t10 + 1);
    t10 = t8;
    t4 = (t0 + 8865);
    *((int *)t4) = t10;
    goto LAB16;

LAB21:
    xsi_set_current_line(74, ng0);
    t1 = (t0 + 2312U);
    t4 = *((char **)t1);
    t8 = (0 - 14);
    t20 = (t8 * -1);
    t21 = (18U * t20);
    t22 = (0 + t21);
    t1 = (t4 + t22);
    t7 = (t95 + 0U);
    t11 = (t7 + 0U);
    *((int *)t11) = 17;
    t11 = (t7 + 4U);
    *((int *)t11) = 0;
    t11 = (t7 + 8U);
    *((int *)t11) = -1;
    t9 = (0 - 17);
    t28 = (t9 * -1);
    t28 = (t28 + 1);
    t11 = (t7 + 12U);
    *((unsigned int *)t11) = t28;
    t11 = (t0 + 2312U);
    t16 = *((char **)t11);
    t10 = (1 - 14);
    t28 = (t10 * -1);
    t36 = (18U * t28);
    t37 = (0 + t36);
    t11 = (t16 + t37);
    t17 = (t96 + 0U);
    t23 = (t17 + 0U);
    *((int *)t23) = 17;
    t23 = (t17 + 4U);
    *((int *)t23) = 0;
    t23 = (t17 + 8U);
    *((int *)t23) = -1;
    t12 = (0 - 17);
    t43 = (t12 * -1);
    t43 = (t43 + 1);
    t23 = (t17 + 12U);
    *((unsigned int *)t23) = t43;
    t23 = ieee_p_1242562249_sub_1701011461141717515_1035706684(IEEE_P_1242562249, t94, t1, t95, t11, t96);
    t25 = (t0 + 2312U);
    t26 = *((char **)t25);
    t13 = (2 - 14);
    t43 = (t13 * -1);
    t56 = (18U * t43);
    t57 = (0 + t56);
    t25 = (t26 + t57);
    t29 = (t98 + 0U);
    t30 = (t29 + 0U);
    *((int *)t30) = 17;
    t30 = (t29 + 4U);
    *((int *)t30) = 0;
    t30 = (t29 + 8U);
    *((int *)t30) = -1;
    t18 = (0 - 17);
    t58 = (t18 * -1);
    t58 = (t58 + 1);
    t30 = (t29 + 12U);
    *((unsigned int *)t30) = t58;
    t30 = (t0 + 2312U);
    t38 = *((char **)t30);
    t19 = (3 - 14);
    t58 = (t19 * -1);
    t99 = (18U * t58);
    t100 = (0 + t99);
    t30 = (t38 + t100);
    t40 = (t101 + 0U);
    t41 = (t40 + 0U);
    *((int *)t41) = 17;
    t41 = (t40 + 4U);
    *((int *)t41) = 0;
    t41 = (t40 + 8U);
    *((int *)t41) = -1;
    t27 = (0 - 17);
    t102 = (t27 * -1);
    t102 = (t102 + 1);
    t41 = (t40 + 12U);
    *((unsigned int *)t41) = t102;
    t41 = ieee_p_1242562249_sub_1701011461141717515_1035706684(IEEE_P_1242562249, t97, t25, t98, t30, t101);
    t44 = ieee_p_1242562249_sub_1701011461141717515_1035706684(IEEE_P_1242562249, t93, t23, t94, t41, t97);
    t45 = (t0 + 2312U);
    t49 = *((char **)t45);
    t31 = (4 - 14);
    t102 = (t31 * -1);
    t104 = (18U * t102);
    t105 = (0 + t104);
    t45 = (t49 + t105);
    t50 = (t106 + 0U);
    t59 = (t50 + 0U);
    *((int *)t59) = 17;
    t59 = (t50 + 4U);
    *((int *)t59) = 0;
    t59 = (t50 + 8U);
    *((int *)t59) = -1;
    t32 = (0 - 17);
    t107 = (t32 * -1);
    t107 = (t107 + 1);
    t59 = (t50 + 12U);
    *((unsigned int *)t59) = t107;
    t59 = (t0 + 2312U);
    t60 = *((char **)t59);
    t33 = (5 - 14);
    t107 = (t33 * -1);
    t108 = (18U * t107);
    t109 = (0 + t108);
    t59 = (t60 + t109);
    t61 = (t110 + 0U);
    t62 = (t61 + 0U);
    *((int *)t62) = 17;
    t62 = (t61 + 4U);
    *((int *)t62) = 0;
    t62 = (t61 + 8U);
    *((int *)t62) = -1;
    t34 = (0 - 17);
    t111 = (t34 * -1);
    t111 = (t111 + 1);
    t62 = (t61 + 12U);
    *((unsigned int *)t62) = t111;
    t62 = ieee_p_1242562249_sub_1701011461141717515_1035706684(IEEE_P_1242562249, t103, t45, t106, t59, t110);
    t63 = ieee_p_1242562249_sub_1701011461141717515_1035706684(IEEE_P_1242562249, t92, t44, t93, t62, t103);
    t75 = (t0 + 2312U);
    t77 = *((char **)t75);
    t35 = (6 - 14);
    t111 = (t35 * -1);
    t113 = (18U * t111);
    t114 = (0 + t113);
    t75 = (t77 + t114);
    t78 = (t115 + 0U);
    t82 = (t78 + 0U);
    *((int *)t82) = 17;
    t82 = (t78 + 4U);
    *((int *)t82) = 0;
    t82 = (t78 + 8U);
    *((int *)t82) = -1;
    t42 = (0 - 17);
    t116 = (t42 * -1);
    t116 = (t116 + 1);
    t82 = (t78 + 12U);
    *((unsigned int *)t82) = t116;
    t82 = (t0 + 2312U);
    t85 = *((char **)t82);
    t46 = (7 - 14);
    t116 = (t46 * -1);
    t117 = (18U * t116);
    t118 = (0 + t117);
    t82 = (t85 + t118);
    t86 = (t119 + 0U);
    t87 = (t86 + 0U);
    *((int *)t87) = 17;
    t87 = (t86 + 4U);
    *((int *)t87) = 0;
    t87 = (t86 + 8U);
    *((int *)t87) = -1;
    t47 = (0 - 17);
    t120 = (t47 * -1);
    t120 = (t120 + 1);
    t87 = (t86 + 12U);
    *((unsigned int *)t87) = t120;
    t87 = ieee_p_1242562249_sub_1701011461141717515_1035706684(IEEE_P_1242562249, t112, t75, t115, t82, t119);
    t88 = ieee_p_1242562249_sub_1701011461141717515_1035706684(IEEE_P_1242562249, t91, t63, t92, t87, t112);
    t89 = (t0 + 2312U);
    t122 = *((char **)t89);
    t48 = (8 - 14);
    t120 = (t48 * -1);
    t123 = (18U * t120);
    t124 = (0 + t123);
    t89 = (t122 + t124);
    t126 = (t125 + 0U);
    t127 = (t126 + 0U);
    *((int *)t127) = 17;
    t127 = (t126 + 4U);
    *((int *)t127) = 0;
    t127 = (t126 + 8U);
    *((int *)t127) = -1;
    t51 = (0 - 17);
    t128 = (t51 * -1);
    t128 = (t128 + 1);
    t127 = (t126 + 12U);
    *((unsigned int *)t127) = t128;
    t127 = (t0 + 2312U);
    t129 = *((char **)t127);
    t52 = (9 - 14);
    t128 = (t52 * -1);
    t130 = (18U * t128);
    t131 = (0 + t130);
    t127 = (t129 + t131);
    t133 = (t132 + 0U);
    t134 = (t133 + 0U);
    *((int *)t134) = 17;
    t134 = (t133 + 4U);
    *((int *)t134) = 0;
    t134 = (t133 + 8U);
    *((int *)t134) = -1;
    t53 = (0 - 17);
    t135 = (t53 * -1);
    t135 = (t135 + 1);
    t134 = (t133 + 12U);
    *((unsigned int *)t134) = t135;
    t134 = ieee_p_1242562249_sub_1701011461141717515_1035706684(IEEE_P_1242562249, t121, t89, t125, t127, t132);
    t136 = ieee_p_1242562249_sub_1701011461141717515_1035706684(IEEE_P_1242562249, t90, t88, t91, t134, t121);
    t138 = (t0 + 2312U);
    t139 = *((char **)t138);
    t54 = (10 - 14);
    t135 = (t54 * -1);
    t140 = (18U * t135);
    t141 = (0 + t140);
    t138 = (t139 + t141);
    t143 = (t142 + 0U);
    t144 = (t143 + 0U);
    *((int *)t144) = 17;
    t144 = (t143 + 4U);
    *((int *)t144) = 0;
    t144 = (t143 + 8U);
    *((int *)t144) = -1;
    t55 = (0 - 17);
    t145 = (t55 * -1);
    t145 = (t145 + 1);
    t144 = (t143 + 12U);
    *((unsigned int *)t144) = t145;
    t144 = (t0 + 2312U);
    t146 = *((char **)t144);
    t64 = (11 - 14);
    t145 = (t64 * -1);
    t147 = (18U * t145);
    t148 = (0 + t147);
    t144 = (t146 + t148);
    t150 = (t149 + 0U);
    t151 = (t150 + 0U);
    *((int *)t151) = 17;
    t151 = (t150 + 4U);
    *((int *)t151) = 0;
    t151 = (t150 + 8U);
    *((int *)t151) = -1;
    t65 = (0 - 17);
    t152 = (t65 * -1);
    t152 = (t152 + 1);
    t151 = (t150 + 12U);
    *((unsigned int *)t151) = t152;
    t151 = ieee_p_1242562249_sub_1701011461141717515_1035706684(IEEE_P_1242562249, t137, t138, t142, t144, t149);
    t153 = ieee_p_1242562249_sub_1701011461141717515_1035706684(IEEE_P_1242562249, t39, t136, t90, t151, t137);
    t155 = (t0 + 2312U);
    t156 = *((char **)t155);
    t66 = (12 - 14);
    t152 = (t66 * -1);
    t157 = (18U * t152);
    t158 = (0 + t157);
    t155 = (t156 + t158);
    t160 = (t159 + 0U);
    t161 = (t160 + 0U);
    *((int *)t161) = 17;
    t161 = (t160 + 4U);
    *((int *)t161) = 0;
    t161 = (t160 + 8U);
    *((int *)t161) = -1;
    t67 = (0 - 17);
    t162 = (t67 * -1);
    t162 = (t162 + 1);
    t161 = (t160 + 12U);
    *((unsigned int *)t161) = t162;
    t161 = (t0 + 2312U);
    t163 = *((char **)t161);
    t68 = (13 - 14);
    t162 = (t68 * -1);
    t164 = (18U * t162);
    t165 = (0 + t164);
    t161 = (t163 + t165);
    t167 = (t166 + 0U);
    t168 = (t167 + 0U);
    *((int *)t168) = 17;
    t168 = (t167 + 4U);
    *((int *)t168) = 0;
    t168 = (t167 + 8U);
    *((int *)t168) = -1;
    t69 = (0 - 17);
    t169 = (t69 * -1);
    t169 = (t169 + 1);
    t168 = (t167 + 12U);
    *((unsigned int *)t168) = t169;
    t168 = ieee_p_1242562249_sub_1701011461141717515_1035706684(IEEE_P_1242562249, t154, t155, t159, t161, t166);
    t170 = ieee_p_1242562249_sub_1701011461141717515_1035706684(IEEE_P_1242562249, t24, t153, t39, t168, t154);
    t171 = (t0 + 2312U);
    t172 = *((char **)t171);
    t70 = (14 - 14);
    t169 = (t70 * -1);
    t173 = (18U * t169);
    t174 = (0 + t173);
    t171 = (t172 + t174);
    t176 = (t175 + 0U);
    t177 = (t176 + 0U);
    *((int *)t177) = 17;
    t177 = (t176 + 4U);
    *((int *)t177) = 0;
    t177 = (t176 + 8U);
    *((int *)t177) = -1;
    t71 = (0 - 17);
    t178 = (t71 * -1);
    t178 = (t178 + 1);
    t177 = (t176 + 12U);
    *((unsigned int *)t177) = t178;
    t177 = ieee_p_1242562249_sub_1701011461141717515_1035706684(IEEE_P_1242562249, t15, t170, t24, t171, t175);
    t179 = ieee_p_1242562249_sub_2749763749646623249_1035706684(IEEE_P_1242562249, t14, t177, t15, 24);
    t180 = (t0 + 4824);
    t181 = (t180 + 56U);
    t182 = *((char **)t181);
    t183 = (t182 + 56U);
    t184 = *((char **)t183);
    memcpy(t184, t179, 24U);
    xsi_driver_first_trans_fast(t180);
    goto LAB22;

}