static void mdm_v2_00_b_a_3871516802_3306564128_p_3(char *t0)
{
    char *t1;
    char *t2;
    unsigned char t3;
    char *t4;
    unsigned char t5;
    unsigned char t6;
    unsigned char t7;
    char *t8;
    unsigned char t9;
    char *t10;
    unsigned char t11;
    unsigned char t12;
    unsigned char t13;
    char *t14;
    unsigned char t15;
    char *t16;
    unsigned char t17;
    unsigned char t18;
    unsigned char t19;
    unsigned char t20;
    char *t21;
    char *t22;
    char *t23;
    char *t24;
    char *t25;

LAB0:    xsi_set_current_line(118, ng0);

LAB3:    t1 = (t0 + 6912U);
    t2 = *((char **)t1);
    t3 = *((unsigned char *)t2);
    t1 = (t0 + 6592U);
    t4 = *((char **)t1);
    t5 = *((unsigned char *)t4);
    t6 = ieee_p_2592010699_sub_1690584930_503743352(IEEE_P_2592010699, t5);
    t7 = ieee_p_2592010699_sub_1605435078_503743352(IEEE_P_2592010699, t3, t6);
    t1 = (t0 + 6592U);
    t8 = *((char **)t1);
    t9 = *((unsigned char *)t8);
    t1 = (t0 + 5312U);
    t10 = *((char **)t1);
    t11 = *((unsigned char *)t10);
    t12 = ieee_p_2592010699_sub_1605435078_503743352(IEEE_P_2592010699, t9, t11);
    t13 = ieee_p_2592010699_sub_2545490612_503743352(IEEE_P_2592010699, t7, t12);
    t1 = (t0 + 6912U);
    t14 = *((char **)t1);
    t15 = *((unsigned char *)t14);
    t1 = (t0 + 5632U);
    t16 = *((char **)t1);
    t17 = *((unsigned char *)t16);
    t18 = ieee_p_2592010699_sub_1690584930_503743352(IEEE_P_2592010699, t17);
    t19 = ieee_p_2592010699_sub_1605435078_503743352(IEEE_P_2592010699, t15, t18);
    t20 = ieee_p_2592010699_sub_2545490612_503743352(IEEE_P_2592010699, t13, t19);
    t1 = (t0 + 13888);
    t21 = (t1 + 56U);
    t22 = *((char **)t21);
    t23 = (t22 + 56U);
    t24 = *((char **)t23);
    *((unsigned char *)t24) = t20;
    xsi_driver_first_trans_fast(t1);

LAB2:    t25 = (t0 + 13488);
    *((int *)t25) = 1;

LAB1:    return;
LAB4:    goto LAB2;

}
Пример #2
0
static void work_a_0152432930_3212880686_p_0(char *t0)
{
    char t7[16];
    char *t1;
    unsigned char t2;
    char *t3;
    char *t4;
    unsigned char t5;
    unsigned char t6;
    char *t8;
    char *t9;
    char *t10;
    char *t11;
    char *t12;
    unsigned int t13;
    unsigned int t14;
    unsigned char t15;
    char *t16;
    char *t17;
    char *t18;
    char *t19;
    char *t20;

LAB0:    xsi_set_current_line(56, ng0);
    t1 = (t0 + 992U);
    t2 = ieee_p_2592010699_sub_1744673427_503743352(IEEE_P_2592010699, t1, 0U, 0U);
    if (t2 != 0)
        goto LAB2;

LAB4:
LAB3:    t1 = (t0 + 4448);
    *((int *)t1) = 1;

LAB1:    return;
LAB2:    xsi_set_current_line(57, ng0);
    t3 = (t0 + 2472U);
    t4 = *((char **)t3);
    t5 = *((unsigned char *)t4);
    t6 = (t5 == (unsigned char)3);
    if (t6 != 0)
        goto LAB5;

LAB7:
LAB6:    goto LAB3;

LAB5:    xsi_set_current_line(58, ng0);
    t3 = (t0 + 1192U);
    t8 = *((char **)t3);
    t3 = (t0 + 7140U);
    t9 = (t0 + 1672U);
    t10 = *((char **)t9);
    t9 = (t0 + 7156U);
    t11 = ieee_p_2592010699_sub_1735675855_503743352(IEEE_P_2592010699, t7, t8, t3, t10, t9);
    t12 = (t7 + 12U);
    t13 = *((unsigned int *)t12);
    t14 = (1U * t13);
    t15 = (1U != t14);
    if (t15 == 1)
        goto LAB8;

LAB9:    t16 = (t0 + 4560);
    t17 = (t16 + 56U);
    t18 = *((char **)t17);
    t19 = (t18 + 56U);
    t20 = *((char **)t19);
    memcpy(t20, t11, 1U);
    xsi_driver_first_trans_fast_port(t16);
    xsi_set_current_line(59, ng0);
    t1 = (t0 + 1352U);
    t3 = *((char **)t1);
    t2 = *((unsigned char *)t3);
    t1 = (t0 + 1832U);
    t4 = *((char **)t1);
    t5 = *((unsigned char *)t4);
    t6 = ieee_p_2592010699_sub_1605435078_503743352(IEEE_P_2592010699, t2, t5);
    t1 = (t0 + 4624);
    t8 = (t1 + 56U);
    t9 = *((char **)t8);
    t10 = (t9 + 56U);
    t11 = *((char **)t10);
    *((unsigned char *)t11) = t6;
    xsi_driver_first_trans_fast_port(t1);
    goto LAB6;

LAB8:    xsi_size_not_matching(1U, t14, 0);
    goto LAB9;

}
Пример #3
0
static void unisim_a_0850834979_2152628908_p_1(char *t0)
{
    char *t1;
    char *t2;
    unsigned char t3;
    char *t4;
    char *t5;
    unsigned char t6;
    char *t7;
    char *t8;
    char *t9;
    char *t10;
    int t11;
    unsigned int t12;
    unsigned int t13;
    unsigned int t14;
    unsigned char t15;
    char *t16;
    int t17;
    unsigned int t18;
    unsigned int t19;
    unsigned int t20;
    unsigned char t21;
    unsigned char t22;
    char *t23;
    char *t24;

LAB0:    t1 = (t0 + 3400U);
    t2 = *((char **)t1);
    if (t2 == 0)
        goto LAB2;

LAB3:    goto *t2;

LAB2:    t2 = (t0 + 1152U);
    t3 = ieee_p_2592010699_sub_1744673427_503743352(IEEE_P_2592010699, t2, 0U, 0U);
    if (t3 != 0)
        goto LAB4;

LAB6:
LAB5:
LAB9:    t2 = (t0 + 4232);
    *((int *)t2) = 1;
    *((char **)t1) = &&LAB10;

LAB1:    return;
LAB4:    t4 = (t0 + 1512U);
    t5 = *((char **)t4);
    t6 = *((unsigned char *)t5);
    t4 = (t0 + 4440);
    t7 = (t4 + 56U);
    t8 = *((char **)t7);
    t9 = (t8 + 56U);
    t10 = *((char **)t9);
    *((unsigned char *)t10) = t6;
    xsi_driver_first_trans_delta(t4, 2U, 1, 0LL);
    t2 = (t0 + 1832U);
    t4 = *((char **)t2);
    t11 = (0 - 2);
    t12 = (t11 * -1);
    t13 = (1U * t12);
    t14 = (0 + t13);
    t2 = (t4 + t14);
    t3 = *((unsigned char *)t2);
    t5 = (t0 + 1512U);
    t7 = *((char **)t5);
    t6 = *((unsigned char *)t7);
    t15 = ieee_p_2592010699_sub_1605435078_503743352(IEEE_P_2592010699, t3, t6);
    t5 = (t0 + 4440);
    t8 = (t5 + 56U);
    t9 = *((char **)t8);
    t10 = (t9 + 56U);
    t16 = *((char **)t10);
    *((unsigned char *)t16) = t15;
    xsi_driver_first_trans_delta(t5, 1U, 1, 0LL);
    t2 = (t0 + 1832U);
    t4 = *((char **)t2);
    t11 = (1 - 2);
    t12 = (t11 * -1);
    t13 = (1U * t12);
    t14 = (0 + t13);
    t2 = (t4 + t14);
    t3 = *((unsigned char *)t2);
    t5 = (t0 + 1832U);
    t7 = *((char **)t5);
    t17 = (0 - 2);
    t18 = (t17 * -1);
    t19 = (1U * t18);
    t20 = (0 + t19);
    t5 = (t7 + t20);
    t6 = *((unsigned char *)t5);
    t15 = ieee_p_2592010699_sub_1605435078_503743352(IEEE_P_2592010699, t3, t6);
    t8 = (t0 + 1512U);
    t9 = *((char **)t8);
    t21 = *((unsigned char *)t9);
    t22 = ieee_p_2592010699_sub_1605435078_503743352(IEEE_P_2592010699, t15, t21);
    t8 = (t0 + 4440);
    t10 = (t8 + 56U);
    t16 = *((char **)t10);
    t23 = (t16 + 56U);
    t24 = *((char **)t23);
    *((unsigned char *)t24) = t22;
    xsi_driver_first_trans_delta(t8, 0U, 1, 0LL);
    goto LAB5;

LAB7:    t4 = (t0 + 4232);
    *((int *)t4) = 0;
    goto LAB2;

LAB8:    goto LAB7;

LAB10:    goto LAB8;

}
static void work_a_3217046058_1516540902_p_10(char *t0)
{
    char *t1;
    char *t2;
    char *t3;
    int t4;
    int t5;
    unsigned int t6;
    unsigned int t7;
    unsigned int t8;
    unsigned char t9;
    char *t10;
    char *t11;
    unsigned char t12;
    unsigned char t13;
    char *t14;
    char *t15;
    int t16;
    int t17;
    unsigned int t18;
    unsigned int t19;
    unsigned int t20;
    unsigned char t21;
    char *t22;
    char *t23;
    unsigned char t24;
    unsigned char t25;
    unsigned char t26;
    char *t27;
    char *t28;
    int t29;
    int t30;
    unsigned int t31;
    unsigned int t32;
    unsigned int t33;
    unsigned char t34;
    char *t35;
    char *t36;
    unsigned char t37;
    unsigned char t38;
    unsigned char t39;
    char *t40;
    char *t41;
    char *t42;
    char *t43;
    char *t44;

LAB0:    xsi_set_current_line(108, ng0);

LAB3:    t1 = (t0 + 3984U);
    t2 = *((char **)t1);
    t1 = (t0 + 5524U);
    t3 = *((char **)t1);
    t4 = *((int *)t3);
    t5 = (t4 - 7);
    t6 = (t5 * -1);
    t7 = (1U * t6);
    t8 = (0 + t7);
    t1 = (t2 + t8);
    t9 = *((unsigned char *)t1);
    t10 = (t0 + 4352U);
    t11 = *((char **)t10);
    t12 = *((unsigned char *)t11);
    t13 = ieee_p_2592010699_sub_1605435078_503743352(IEEE_P_2592010699, t9, t12);
    t10 = (t0 + 4076U);
    t14 = *((char **)t10);
    t10 = (t0 + 5524U);
    t15 = *((char **)t10);
    t16 = *((int *)t15);
    t17 = (t16 - 7);
    t18 = (t17 * -1);
    t19 = (1U * t18);
    t20 = (0 + t19);
    t10 = (t14 + t20);
    t21 = *((unsigned char *)t10);
    t22 = (t0 + 4444U);
    t23 = *((char **)t22);
    t24 = *((unsigned char *)t23);
    t25 = ieee_p_2592010699_sub_1605435078_503743352(IEEE_P_2592010699, t21, t24);
    t26 = ieee_p_2592010699_sub_2545490612_503743352(IEEE_P_2592010699, t13, t25);
    t22 = (t0 + 4260U);
    t27 = *((char **)t22);
    t22 = (t0 + 5524U);
    t28 = *((char **)t22);
    t29 = *((int *)t28);
    t30 = (t29 - 7);
    t31 = (t30 * -1);
    t32 = (1U * t31);
    t33 = (0 + t32);
    t22 = (t27 + t33);
    t34 = *((unsigned char *)t22);
    t35 = (t0 + 4536U);
    t36 = *((char **)t35);
    t37 = *((unsigned char *)t36);
    t38 = ieee_p_2592010699_sub_1605435078_503743352(IEEE_P_2592010699, t34, t37);
    t39 = ieee_p_2592010699_sub_2545490612_503743352(IEEE_P_2592010699, t26, t38);
    t35 = (t0 + 9384);
    t40 = (t35 + 32U);
    t41 = *((char **)t40);
    t42 = (t41 + 40U);
    t43 = *((char **)t42);
    *((unsigned char *)t43) = t39;
    xsi_driver_first_trans_delta(t35, 3U, 1, 0LL);

LAB2:    t44 = (t0 + 8932);
    *((int *)t44) = 1;

LAB1:    return;
LAB4:    goto LAB2;

}
Пример #5
0
static void work_a_2521819606_1285209636_p_0(char *t0)
{
    unsigned char t1;
    char *t2;
    char *t3;
    char *t4;
    unsigned char t5;
    unsigned int t6;
    char *t7;
    char *t8;
    char *t9;
    char *t10;
    char *t11;
    unsigned char t12;
    unsigned int t13;
    char *t14;
    char *t15;
    char *t16;
    char *t17;
    int t18;
    unsigned int t19;
    unsigned int t20;
    unsigned int t21;
    unsigned char t22;
    char *t23;
    char *t24;
    char *t25;
    char *t26;
    char *t27;
    unsigned char t28;
    unsigned char t29;
    unsigned char t30;
    unsigned char t31;
    unsigned char t32;
    unsigned char t33;
    unsigned char t34;
    int t35;
    unsigned int t36;
    unsigned char t37;
    unsigned char t38;
    unsigned char t39;
    char *t41;
    char *t42;
    char *t43;
    char *t44;
    char *t46;
    char *t47;
    char *t48;
    char *t49;
    char *t51;
    char *t52;
    char *t53;
    char *t54;
    unsigned int t55;
    unsigned int t56;
    unsigned int t57;
    char *t58;
    char *t59;
    char *t60;
    char *t61;
    char *t62;

LAB0:    xsi_set_current_line(45, ng0);
    t2 = (t0 + 1512U);
    t3 = *((char **)t2);
    t2 = (t0 + 5576);
    t5 = 1;
    if (6U == 6U)
        goto LAB8;

LAB9:    t5 = 0;

LAB10:    if (t5 == 1)
        goto LAB5;

LAB6:    t9 = (t0 + 1512U);
    t10 = *((char **)t9);
    t9 = (t0 + 5582);
    t12 = 1;
    if (6U == 6U)
        goto LAB14;

LAB15:    t12 = 0;

LAB16:    t1 = t12;

LAB7:    if (t1 != 0)
        goto LAB2;

LAB4:    xsi_set_current_line(55, ng0);
    t2 = (t0 + 1512U);
    t3 = *((char **)t2);
    t2 = (t0 + 5620);
    t1 = 1;
    if (6U == 6U)
        goto LAB32;

LAB33:    t1 = 0;

LAB34:    if (t1 != 0)
        goto LAB29;

LAB31:    xsi_set_current_line(65, ng0);
    t2 = (t0 + 1512U);
    t3 = *((char **)t2);
    t2 = (t0 + 5658);
    t29 = 1;
    if (6U == 6U)
        goto LAB65;

LAB66:    t29 = 0;

LAB67:    if (t29 == 1)
        goto LAB62;

LAB63:    t9 = (t0 + 1512U);
    t10 = *((char **)t9);
    t9 = (t0 + 5664);
    t30 = 1;
    if (6U == 6U)
        goto LAB71;

LAB72:    t30 = 0;

LAB73:    t28 = t30;

LAB64:    if (t28 == 1)
        goto LAB59;

LAB60:    t16 = (t0 + 1512U);
    t17 = *((char **)t16);
    t16 = (t0 + 5670);
    t31 = 1;
    if (6U == 6U)
        goto LAB77;

LAB78:    t31 = 0;

LAB79:    t22 = t31;

LAB61:    if (t22 == 1)
        goto LAB56;

LAB57:    t26 = (t0 + 1512U);
    t27 = *((char **)t26);
    t26 = (t0 + 5676);
    t32 = 1;
    if (6U == 6U)
        goto LAB83;

LAB84:    t32 = 0;

LAB85:    t12 = t32;

LAB58:    if (t12 == 1)
        goto LAB53;

LAB54:    t43 = (t0 + 1512U);
    t44 = *((char **)t43);
    t43 = (t0 + 5682);
    t33 = 1;
    if (6U == 6U)
        goto LAB89;

LAB90:    t33 = 0;

LAB91:    t5 = t33;

LAB55:    if (t5 == 1)
        goto LAB50;

LAB51:    t48 = (t0 + 1512U);
    t49 = *((char **)t48);
    t48 = (t0 + 5688);
    t34 = 1;
    if (6U == 6U)
        goto LAB95;

LAB96:    t34 = 0;

LAB97:    t1 = t34;

LAB52:    if (t1 != 0)
        goto LAB47;

LAB49:
LAB48:
LAB30:
LAB3:    t2 = (t0 + 3152);
    *((int *)t2) = 1;

LAB1:    return;
LAB2:    xsi_set_current_line(46, ng0);
    t16 = (t0 + 1032U);
    t17 = *((char **)t16);
    t18 = (31 - 31);
    t19 = (t18 * -1);
    t20 = (1U * t19);
    t21 = (0 + t20);
    t16 = (t17 + t21);
    t22 = *((unsigned char *)t16);
    t23 = (t0 + 3232);
    t24 = (t23 + 56U);
    t25 = *((char **)t24);
    t26 = (t25 + 56U);
    t27 = *((char **)t26);
    *((unsigned char *)t27) = t22;
    xsi_driver_first_trans_delta(t23, 0U, 1, 0LL);
    xsi_set_current_line(47, ng0);
    t2 = (t0 + 1032U);
    t3 = *((char **)t2);
    t2 = (t0 + 5588);
    t1 = 1;
    if (32U == 32U)
        goto LAB23;

LAB24:    t1 = 0;

LAB25:    if (t1 != 0)
        goto LAB20;

LAB22:    xsi_set_current_line(50, ng0);
    t2 = (t0 + 3232);
    t3 = (t2 + 56U);
    t4 = *((char **)t3);
    t7 = (t4 + 56U);
    t8 = *((char **)t7);
    *((unsigned char *)t8) = (unsigned char)2;
    xsi_driver_first_trans_delta(t2, 1U, 1, 0LL);

LAB21:    xsi_set_current_line(52, ng0);
    t2 = (t0 + 1192U);
    t3 = *((char **)t2);
    t1 = *((unsigned char *)t3);
    t2 = (t0 + 1352U);
    t4 = *((char **)t2);
    t5 = *((unsigned char *)t4);
    t12 = ieee_p_2592010699_sub_1605435078_503743352(IEEE_P_2592010699, t1, t5);
    t2 = (t0 + 1032U);
    t7 = *((char **)t2);
    t18 = (31 - 31);
    t6 = (t18 * -1);
    t13 = (1U * t6);
    t19 = (0 + t13);
    t2 = (t7 + t19);
    t22 = *((unsigned char *)t2);
    t28 = ieee_p_2592010699_sub_1690584930_503743352(IEEE_P_2592010699, t22);
    t29 = ieee_p_2592010699_sub_1605435078_503743352(IEEE_P_2592010699, t12, t28);
    t8 = (t0 + 1192U);
    t9 = *((char **)t8);
    t30 = *((unsigned char *)t9);
    t31 = ieee_p_2592010699_sub_1690584930_503743352(IEEE_P_2592010699, t30);
    t8 = (t0 + 1352U);
    t10 = *((char **)t8);
    t32 = *((unsigned char *)t10);
    t33 = ieee_p_2592010699_sub_1690584930_503743352(IEEE_P_2592010699, t32);
    t34 = ieee_p_2592010699_sub_1605435078_503743352(IEEE_P_2592010699, t31, t33);
    t8 = (t0 + 1032U);
    t11 = *((char **)t8);
    t35 = (31 - 31);
    t20 = (t35 * -1);
    t21 = (1U * t20);
    t36 = (0 + t21);
    t8 = (t11 + t36);
    t37 = *((unsigned char *)t8);
    t38 = ieee_p_2592010699_sub_1605435078_503743352(IEEE_P_2592010699, t34, t37);
    t39 = ieee_p_2592010699_sub_2545490612_503743352(IEEE_P_2592010699, t29, t38);
    t14 = (t0 + 3232);
    t15 = (t14 + 56U);
    t16 = *((char **)t15);
    t17 = (t16 + 56U);
    t23 = *((char **)t17);
    *((unsigned char *)t23) = t39;
    xsi_driver_first_trans_delta(t14, 2U, 1, 0LL);
    xsi_set_current_line(53, ng0);
    t2 = (t0 + 1192U);
    t3 = *((char **)t2);
    t1 = *((unsigned char *)t3);
    t2 = (t0 + 1352U);
    t4 = *((char **)t2);
    t5 = *((unsigned char *)t4);
    t12 = ieee_p_2592010699_sub_1605435078_503743352(IEEE_P_2592010699, t1, t5);
    t2 = (t0 + 1032U);
    t7 = *((char **)t2);
    t18 = (31 - 31);
    t6 = (t18 * -1);
    t13 = (1U * t6);
    t19 = (0 + t13);
    t2 = (t7 + t19);
    t22 = *((unsigned char *)t2);
    t28 = ieee_p_2592010699_sub_1690584930_503743352(IEEE_P_2592010699, t22);
    t8 = (t0 + 1192U);
    t9 = *((char **)t8);
    t29 = *((unsigned char *)t9);
    t8 = (t0 + 1352U);
    t10 = *((char **)t8);
    t30 = *((unsigned char *)t10);
    t31 = ieee_p_2592010699_sub_2545490612_503743352(IEEE_P_2592010699, t29, t30);
    t32 = ieee_p_2592010699_sub_1605435078_503743352(IEEE_P_2592010699, t28, t31);
    t33 = ieee_p_2592010699_sub_2545490612_503743352(IEEE_P_2592010699, t12, t32);
    t8 = (t0 + 3232);
    t11 = (t8 + 56U);
    t14 = *((char **)t11);
    t15 = (t14 + 56U);
    t16 = *((char **)t15);
    *((unsigned char *)t16) = t33;
    xsi_driver_first_trans_delta(t8, 3U, 1, 0LL);
    goto LAB3;

LAB5:    t1 = (unsigned char)1;
    goto LAB7;

LAB8:    t6 = 0;

LAB11:    if (t6 < 6U)
        goto LAB12;
    else
        goto LAB10;

LAB12:    t7 = (t3 + t6);
    t8 = (t2 + t6);
    if (*((unsigned char *)t7) != *((unsigned char *)t8))
        goto LAB9;

LAB13:    t6 = (t6 + 1);
    goto LAB11;

LAB14:    t13 = 0;

LAB17:    if (t13 < 6U)
        goto LAB18;
    else
        goto LAB16;

LAB18:    t14 = (t10 + t13);
    t15 = (t9 + t13);
    if (*((unsigned char *)t14) != *((unsigned char *)t15))
        goto LAB15;

LAB19:    t13 = (t13 + 1);
    goto LAB17;

LAB20:    xsi_set_current_line(48, ng0);
    t9 = (t0 + 3232);
    t10 = (t9 + 56U);
    t11 = *((char **)t10);
    t14 = (t11 + 56U);
    t15 = *((char **)t14);
    *((unsigned char *)t15) = (unsigned char)3;
    xsi_driver_first_trans_delta(t9, 1U, 1, 0LL);
    goto LAB21;

LAB23:    t6 = 0;

LAB26:    if (t6 < 32U)
        goto LAB27;
    else
        goto LAB25;

LAB27:    t7 = (t3 + t6);
    t8 = (t2 + t6);
    if (*((unsigned char *)t7) != *((unsigned char *)t8))
        goto LAB24;

LAB28:    t6 = (t6 + 1);
    goto LAB26;

LAB29:    xsi_set_current_line(56, ng0);
    t9 = (t0 + 1032U);
    t10 = *((char **)t9);
    t18 = (31 - 31);
    t13 = (t18 * -1);
    t19 = (1U * t13);
    t20 = (0 + t19);
    t9 = (t10 + t20);
    t5 = *((unsigned char *)t9);
    t11 = (t0 + 3232);
    t14 = (t11 + 56U);
    t15 = *((char **)t14);
    t16 = (t15 + 56U);
    t17 = *((char **)t16);
    *((unsigned char *)t17) = t5;
    xsi_driver_first_trans_delta(t11, 0U, 1, 0LL);
    xsi_set_current_line(57, ng0);
    t2 = (t0 + 1032U);
    t3 = *((char **)t2);
    t2 = (t0 + 5626);
    t1 = 1;
    if (32U == 32U)
        goto LAB41;

LAB42:    t1 = 0;

LAB43:    if (t1 != 0)
        goto LAB38;

LAB40:    xsi_set_current_line(60, ng0);
    t2 = (t0 + 3232);
    t3 = (t2 + 56U);
    t4 = *((char **)t3);
    t7 = (t4 + 56U);
    t8 = *((char **)t7);
    *((unsigned char *)t8) = (unsigned char)2;
    xsi_driver_first_trans_delta(t2, 1U, 1, 0LL);

LAB39:    xsi_set_current_line(62, ng0);
    t2 = (t0 + 1192U);
    t3 = *((char **)t2);
    t1 = *((unsigned char *)t3);
    t2 = (t0 + 1352U);
    t4 = *((char **)t2);
    t5 = *((unsigned char *)t4);
    t12 = ieee_p_2592010699_sub_1690584930_503743352(IEEE_P_2592010699, t5);
    t22 = ieee_p_2592010699_sub_1605435078_503743352(IEEE_P_2592010699, t1, t12);
    t2 = (t0 + 1032U);
    t7 = *((char **)t2);
    t18 = (31 - 31);
    t6 = (t18 * -1);
    t13 = (1U * t6);
    t19 = (0 + t13);
    t2 = (t7 + t19);
    t28 = *((unsigned char *)t2);
    t29 = ieee_p_2592010699_sub_1690584930_503743352(IEEE_P_2592010699, t28);
    t30 = ieee_p_2592010699_sub_1605435078_503743352(IEEE_P_2592010699, t22, t29);
    t8 = (t0 + 1192U);
    t9 = *((char **)t8);
    t31 = *((unsigned char *)t9);
    t32 = ieee_p_2592010699_sub_1690584930_503743352(IEEE_P_2592010699, t31);
    t8 = (t0 + 1352U);
    t10 = *((char **)t8);
    t33 = *((unsigned char *)t10);
    t34 = ieee_p_2592010699_sub_1605435078_503743352(IEEE_P_2592010699, t32, t33);
    t8 = (t0 + 1032U);
    t11 = *((char **)t8);
    t35 = (31 - 31);
    t20 = (t35 * -1);
    t21 = (1U * t20);
    t36 = (0 + t21);
    t8 = (t11 + t36);
    t37 = *((unsigned char *)t8);
    t38 = ieee_p_2592010699_sub_1605435078_503743352(IEEE_P_2592010699, t34, t37);
    t39 = ieee_p_2592010699_sub_2545490612_503743352(IEEE_P_2592010699, t30, t38);
    t14 = (t0 + 3232);
    t15 = (t14 + 56U);
    t16 = *((char **)t15);
    t17 = (t16 + 56U);
    t23 = *((char **)t17);
    *((unsigned char *)t23) = t39;
    xsi_driver_first_trans_delta(t14, 2U, 1, 0LL);
    xsi_set_current_line(63, ng0);
    t2 = (t0 + 1192U);
    t3 = *((char **)t2);
    t1 = *((unsigned char *)t3);
    t5 = ieee_p_2592010699_sub_1690584930_503743352(IEEE_P_2592010699, t1);
    t2 = (t0 + 1352U);
    t4 = *((char **)t2);
    t12 = *((unsigned char *)t4);
    t22 = ieee_p_2592010699_sub_1605435078_503743352(IEEE_P_2592010699, t5, t12);
    t2 = (t0 + 1032U);
    t7 = *((char **)t2);
    t18 = (31 - 31);
    t6 = (t18 * -1);
    t13 = (1U * t6);
    t19 = (0 + t13);
    t2 = (t7 + t19);
    t28 = *((unsigned char *)t2);
    t8 = (t0 + 1192U);
    t9 = *((char **)t8);
    t29 = *((unsigned char *)t9);
    t30 = ieee_p_2592010699_sub_1690584930_503743352(IEEE_P_2592010699, t29);
    t8 = (t0 + 1352U);
    t10 = *((char **)t8);
    t31 = *((unsigned char *)t10);
    t32 = ieee_p_2592010699_sub_2545490612_503743352(IEEE_P_2592010699, t30, t31);
    t33 = ieee_p_2592010699_sub_1605435078_503743352(IEEE_P_2592010699, t28, t32);
    t34 = ieee_p_2592010699_sub_2545490612_503743352(IEEE_P_2592010699, t22, t33);
    t8 = (t0 + 3232);
    t11 = (t8 + 56U);
    t14 = *((char **)t11);
    t15 = (t14 + 56U);
    t16 = *((char **)t15);
    *((unsigned char *)t16) = t34;
    xsi_driver_first_trans_delta(t8, 3U, 1, 0LL);
    goto LAB30;

LAB32:    t6 = 0;

LAB35:    if (t6 < 6U)
        goto LAB36;
    else
        goto LAB34;

LAB36:    t7 = (t3 + t6);
    t8 = (t2 + t6);
    if (*((unsigned char *)t7) != *((unsigned char *)t8))
        goto LAB33;

LAB37:    t6 = (t6 + 1);
    goto LAB35;

LAB38:    xsi_set_current_line(58, ng0);
    t9 = (t0 + 3232);
    t10 = (t9 + 56U);
    t11 = *((char **)t10);
    t14 = (t11 + 56U);
    t15 = *((char **)t14);
    *((unsigned char *)t15) = (unsigned char)3;
    xsi_driver_first_trans_delta(t9, 1U, 1, 0LL);
    goto LAB39;

LAB41:    t6 = 0;

LAB44:    if (t6 < 32U)
        goto LAB45;
    else
        goto LAB43;

LAB45:    t7 = (t3 + t6);
    t8 = (t2 + t6);
    if (*((unsigned char *)t7) != *((unsigned char *)t8))
        goto LAB42;

LAB46:    t6 = (t6 + 1);
    goto LAB44;

LAB47:    xsi_set_current_line(66, ng0);
    t53 = (t0 + 1032U);
    t54 = *((char **)t53);
    t18 = (31 - 31);
    t55 = (t18 * -1);
    t56 = (1U * t55);
    t57 = (0 + t56);
    t53 = (t54 + t57);
    t37 = *((unsigned char *)t53);
    t58 = (t0 + 3232);
    t59 = (t58 + 56U);
    t60 = *((char **)t59);
    t61 = (t60 + 56U);
    t62 = *((char **)t61);
    *((unsigned char *)t62) = t37;
    xsi_driver_first_trans_delta(t58, 0U, 1, 0LL);
    xsi_set_current_line(67, ng0);
    t2 = (t0 + 1032U);
    t3 = *((char **)t2);
    t2 = (t0 + 5694);
    t1 = 1;
    if (32U == 32U)
        goto LAB104;

LAB105:    t1 = 0;

LAB106:    if (t1 != 0)
        goto LAB101;

LAB103:    xsi_set_current_line(70, ng0);
    t2 = (t0 + 3232);
    t3 = (t2 + 56U);
    t4 = *((char **)t3);
    t7 = (t4 + 56U);
    t8 = *((char **)t7);
    *((unsigned char *)t8) = (unsigned char)2;
    xsi_driver_first_trans_delta(t2, 1U, 1, 0LL);

LAB102:    xsi_set_current_line(72, ng0);
    t2 = (t0 + 3232);
    t3 = (t2 + 56U);
    t4 = *((char **)t3);
    t7 = (t4 + 56U);
    t8 = *((char **)t7);
    *((unsigned char *)t8) = (unsigned char)2;
    xsi_driver_first_trans_delta(t2, 2U, 1, 0LL);
    xsi_set_current_line(73, ng0);
    t2 = (t0 + 3232);
    t3 = (t2 + 56U);
    t4 = *((char **)t3);
    t7 = (t4 + 56U);
    t8 = *((char **)t7);
    *((unsigned char *)t8) = (unsigned char)2;
    xsi_driver_first_trans_delta(t2, 3U, 1, 0LL);
    goto LAB48;

LAB50:    t1 = (unsigned char)1;
    goto LAB52;

LAB53:    t5 = (unsigned char)1;
    goto LAB55;

LAB56:    t12 = (unsigned char)1;
    goto LAB58;

LAB59:    t22 = (unsigned char)1;
    goto LAB61;

LAB62:    t28 = (unsigned char)1;
    goto LAB64;

LAB65:    t6 = 0;

LAB68:    if (t6 < 6U)
        goto LAB69;
    else
        goto LAB67;

LAB69:    t7 = (t3 + t6);
    t8 = (t2 + t6);
    if (*((unsigned char *)t7) != *((unsigned char *)t8))
        goto LAB66;

LAB70:    t6 = (t6 + 1);
    goto LAB68;

LAB71:    t13 = 0;

LAB74:    if (t13 < 6U)
        goto LAB75;
    else
        goto LAB73;

LAB75:    t14 = (t10 + t13);
    t15 = (t9 + t13);
    if (*((unsigned char *)t14) != *((unsigned char *)t15))
        goto LAB72;

LAB76:    t13 = (t13 + 1);
    goto LAB74;

LAB77:    t19 = 0;

LAB80:    if (t19 < 6U)
        goto LAB81;
    else
        goto LAB79;

LAB81:    t24 = (t17 + t19);
    t25 = (t16 + t19);
    if (*((unsigned char *)t24) != *((unsigned char *)t25))
        goto LAB78;

LAB82:    t19 = (t19 + 1);
    goto LAB80;

LAB83:    t20 = 0;

LAB86:    if (t20 < 6U)
        goto LAB87;
    else
        goto LAB85;

LAB87:    t41 = (t27 + t20);
    t42 = (t26 + t20);
    if (*((unsigned char *)t41) != *((unsigned char *)t42))
        goto LAB84;

LAB88:    t20 = (t20 + 1);
    goto LAB86;

LAB89:    t21 = 0;

LAB92:    if (t21 < 6U)
        goto LAB93;
    else
        goto LAB91;

LAB93:    t46 = (t44 + t21);
    t47 = (t43 + t21);
    if (*((unsigned char *)t46) != *((unsigned char *)t47))
        goto LAB90;

LAB94:    t21 = (t21 + 1);
    goto LAB92;

LAB95:    t36 = 0;

LAB98:    if (t36 < 6U)
        goto LAB99;
    else
        goto LAB97;

LAB99:    t51 = (t49 + t36);
    t52 = (t48 + t36);
    if (*((unsigned char *)t51) != *((unsigned char *)t52))
        goto LAB96;

LAB100:    t36 = (t36 + 1);
    goto LAB98;

LAB101:    xsi_set_current_line(68, ng0);
    t9 = (t0 + 3232);
    t10 = (t9 + 56U);
    t11 = *((char **)t10);
    t14 = (t11 + 56U);
    t15 = *((char **)t14);
    *((unsigned char *)t15) = (unsigned char)3;
    xsi_driver_first_trans_delta(t9, 1U, 1, 0LL);
    goto LAB102;

LAB104:    t6 = 0;

LAB107:    if (t6 < 32U)
        goto LAB108;
    else
        goto LAB106;

LAB108:    t7 = (t3 + t6);
    t8 = (t2 + t6);
    if (*((unsigned char *)t7) != *((unsigned char *)t8))
        goto LAB105;

LAB109:    t6 = (t6 + 1);
    goto LAB107;

}
static void work_a_0840254901_1670583742_p_2(char *t0)
{
    char *t1;
    char *t2;
    unsigned char t3;
    char *t4;
    int t5;
    unsigned int t6;
    unsigned int t7;
    unsigned int t8;
    unsigned char t9;
    unsigned char t10;
    char *t11;
    char *t12;
    int t13;
    unsigned int t14;
    unsigned int t15;
    unsigned int t16;
    unsigned char t17;
    unsigned char t18;
    char *t19;
    char *t20;
    char *t21;
    char *t22;
    char *t23;
    char *t24;

LAB0:    xsi_set_current_line(52, ng0);

LAB3:    t1 = (t0 + 1192U);
    t2 = *((char **)t1);
    t3 = *((unsigned char *)t2);
    t1 = (t0 + 1672U);
    t4 = *((char **)t1);
    t5 = (0 - 2);
    t6 = (t5 * -1);
    t7 = (1U * t6);
    t8 = (0 + t7);
    t1 = (t4 + t8);
    t9 = *((unsigned char *)t1);
    t10 = ieee_p_2592010699_sub_1605435078_503743352(IEEE_P_2592010699, t3, t9);
    t11 = (t0 + 1672U);
    t12 = *((char **)t11);
    t13 = (1 - 2);
    t14 = (t13 * -1);
    t15 = (1U * t14);
    t16 = (0 + t15);
    t11 = (t12 + t16);
    t17 = *((unsigned char *)t11);
    t18 = ieee_p_2592010699_sub_1605435078_503743352(IEEE_P_2592010699, t10, t17);
    t19 = (t0 + 4688);
    t20 = (t19 + 56U);
    t21 = *((char **)t20);
    t22 = (t21 + 56U);
    t23 = *((char **)t22);
    *((unsigned char *)t23) = t18;
    xsi_driver_first_trans_fast(t19);

LAB2:    t24 = (t0 + 4480);
    *((int *)t24) = 1;

LAB1:    return;
LAB4:    goto LAB2;

}
static void work_a_2974374553_3212880686_p_11(char *t0)
{
    char *t1;
    char *t2;
    unsigned char t3;
    char *t4;
    unsigned char t5;
    unsigned char t6;
    char *t7;
    unsigned char t8;
    unsigned char t9;
    char *t10;
    unsigned char t11;
    unsigned char t12;
    char *t13;
    unsigned char t14;
    unsigned char t15;
    char *t16;
    unsigned char t17;
    char *t18;
    unsigned char t19;
    unsigned char t20;
    char *t21;
    unsigned char t22;
    unsigned char t23;
    char *t24;
    unsigned char t25;
    unsigned char t26;
    unsigned char t27;
    char *t28;
    unsigned char t29;
    char *t30;
    unsigned char t31;
    unsigned char t32;
    char *t33;
    unsigned char t34;
    unsigned char t35;
    unsigned char t36;
    char *t37;
    unsigned char t38;
    char *t39;
    unsigned char t40;
    unsigned char t41;
    unsigned char t42;
    char *t43;
    unsigned char t44;
    unsigned char t45;
    char *t46;
    char *t47;
    char *t48;
    char *t49;
    char *t50;

LAB0:    xsi_set_current_line(64, ng0);

LAB3:    t1 = (t0 + 1352U);
    t2 = *((char **)t1);
    t3 = *((unsigned char *)t2);
    t1 = (t0 + 2792U);
    t4 = *((char **)t1);
    t5 = *((unsigned char *)t4);
    t6 = ieee_p_2592010699_sub_1605435078_503743352(IEEE_P_2592010699, t3, t5);
    t1 = (t0 + 2952U);
    t7 = *((char **)t1);
    t8 = *((unsigned char *)t7);
    t9 = ieee_p_2592010699_sub_1605435078_503743352(IEEE_P_2592010699, t6, t8);
    t1 = (t0 + 3112U);
    t10 = *((char **)t1);
    t11 = *((unsigned char *)t10);
    t12 = ieee_p_2592010699_sub_1605435078_503743352(IEEE_P_2592010699, t9, t11);
    t1 = (t0 + 3272U);
    t13 = *((char **)t1);
    t14 = *((unsigned char *)t13);
    t15 = ieee_p_2592010699_sub_1605435078_503743352(IEEE_P_2592010699, t12, t14);
    t1 = (t0 + 2152U);
    t16 = *((char **)t1);
    t17 = *((unsigned char *)t16);
    t1 = (t0 + 2952U);
    t18 = *((char **)t1);
    t19 = *((unsigned char *)t18);
    t20 = ieee_p_2592010699_sub_1605435078_503743352(IEEE_P_2592010699, t17, t19);
    t1 = (t0 + 3112U);
    t21 = *((char **)t1);
    t22 = *((unsigned char *)t21);
    t23 = ieee_p_2592010699_sub_1605435078_503743352(IEEE_P_2592010699, t20, t22);
    t1 = (t0 + 3272U);
    t24 = *((char **)t1);
    t25 = *((unsigned char *)t24);
    t26 = ieee_p_2592010699_sub_1605435078_503743352(IEEE_P_2592010699, t23, t25);
    t27 = ieee_p_2592010699_sub_2545490612_503743352(IEEE_P_2592010699, t15, t26);
    t1 = (t0 + 2312U);
    t28 = *((char **)t1);
    t29 = *((unsigned char *)t28);
    t1 = (t0 + 3112U);
    t30 = *((char **)t1);
    t31 = *((unsigned char *)t30);
    t32 = ieee_p_2592010699_sub_1605435078_503743352(IEEE_P_2592010699, t29, t31);
    t1 = (t0 + 3272U);
    t33 = *((char **)t1);
    t34 = *((unsigned char *)t33);
    t35 = ieee_p_2592010699_sub_1605435078_503743352(IEEE_P_2592010699, t32, t34);
    t36 = ieee_p_2592010699_sub_2545490612_503743352(IEEE_P_2592010699, t27, t35);
    t1 = (t0 + 2472U);
    t37 = *((char **)t1);
    t38 = *((unsigned char *)t37);
    t1 = (t0 + 3272U);
    t39 = *((char **)t1);
    t40 = *((unsigned char *)t39);
    t41 = ieee_p_2592010699_sub_1605435078_503743352(IEEE_P_2592010699, t38, t40);
    t42 = ieee_p_2592010699_sub_2545490612_503743352(IEEE_P_2592010699, t36, t41);
    t1 = (t0 + 2632U);
    t43 = *((char **)t1);
    t44 = *((unsigned char *)t43);
    t45 = ieee_p_2592010699_sub_2545490612_503743352(IEEE_P_2592010699, t42, t44);
    t1 = (t0 + 10928);
    t46 = (t1 + 56U);
    t47 = *((char **)t46);
    t48 = (t47 + 56U);
    t49 = *((char **)t48);
    *((unsigned char *)t49) = t45;
    xsi_driver_first_trans_delta(t1, 0U, 1, 0LL);

LAB2:    t50 = (t0 + 10032);
    *((int *)t50) = 1;

LAB1:    return;
LAB4:    goto LAB2;

}
static void work_a_0464918941_1181938964_p_1(char *t0)
{
    unsigned char t1;
    char *t2;
    unsigned char t3;
    char *t4;
    char *t5;
    unsigned char t6;
    unsigned char t7;
    char *t8;
    unsigned char t9;
    char *t10;
    unsigned char t11;
    unsigned char t12;
    char *t13;
    unsigned char t14;
    unsigned char t15;
    unsigned char t16;
    char *t17;
    char *t18;
    char *t19;
    char *t20;

LAB0:    xsi_set_current_line(177, ng0);
    t2 = (t0 + 708U);
    t3 = xsi_signal_has_event(t2);
    if (t3 == 1)
        goto LAB5;

LAB6:    t1 = (unsigned char)0;

LAB7:    if (t1 != 0)
        goto LAB2;

LAB4:
LAB3:    t2 = (t0 + 8604);
    *((int *)t2) = 1;

LAB1:    return;
LAB2:    xsi_set_current_line(178, ng0);
    t4 = (t0 + 1468U);
    t8 = *((char **)t4);
    t9 = *((unsigned char *)t8);
    t4 = (t0 + 1376U);
    t10 = *((char **)t4);
    t11 = *((unsigned char *)t10);
    t12 = ieee_p_2592010699_sub_1605435078_503743352(IEEE_P_2592010699, t9, t11);
    t4 = (t0 + 3032U);
    t13 = *((char **)t4);
    t14 = *((unsigned char *)t13);
    t15 = ieee_p_2592010699_sub_1690584930_503743352(IEEE_P_2592010699, t14);
    t16 = ieee_p_2592010699_sub_1605435078_503743352(IEEE_P_2592010699, t12, t15);
    t4 = (t0 + 8844);
    t17 = (t4 + 32U);
    t18 = *((char **)t17);
    t19 = (t18 + 40U);
    t20 = *((char **)t19);
    *((unsigned char *)t20) = t16;
    xsi_driver_first_trans_fast(t4);
    goto LAB3;

LAB5:    t4 = (t0 + 732U);
    t5 = *((char **)t4);
    t6 = *((unsigned char *)t5);
    t7 = (t6 == (unsigned char)3);
    t1 = t7;
    goto LAB7;

}
Пример #9
0
static void work_a_0286164271_3212880686_p_15(char *t0)
{
    char *t1;
    char *t2;
    unsigned char t3;
    char *t4;
    unsigned char t5;
    unsigned char t6;
    char *t7;
    unsigned char t8;
    unsigned char t9;
    char *t10;
    unsigned char t11;
    unsigned char t12;
    char *t13;
    unsigned char t14;
    unsigned char t15;
    unsigned char t16;
    unsigned char t17;
    char *t18;
    char *t19;
    char *t20;
    char *t21;
    char *t22;

LAB0:    xsi_set_current_line(91, ng0);

LAB3:    t1 = (t0 + 4232U);
    t2 = *((char **)t1);
    t3 = *((unsigned char *)t2);
    t1 = (t0 + 4392U);
    t4 = *((char **)t1);
    t5 = *((unsigned char *)t4);
    t6 = ieee_p_2592010699_sub_1605435078_503743352(IEEE_P_2592010699, t3, t5);
    t1 = (t0 + 4552U);
    t7 = *((char **)t1);
    t8 = *((unsigned char *)t7);
    t9 = ieee_p_2592010699_sub_1605435078_503743352(IEEE_P_2592010699, t6, t8);
    t1 = (t0 + 4712U);
    t10 = *((char **)t1);
    t11 = *((unsigned char *)t10);
    t12 = ieee_p_2592010699_sub_1605435078_503743352(IEEE_P_2592010699, t9, t11);
    t1 = (t0 + 2152U);
    t13 = *((char **)t1);
    t14 = *((unsigned char *)t13);
    t15 = ieee_p_2592010699_sub_1690584930_503743352(IEEE_P_2592010699, t14);
    t16 = ieee_p_2592010699_sub_1605435078_503743352(IEEE_P_2592010699, t12, t15);
    t17 = ieee_p_2592010699_sub_1690584930_503743352(IEEE_P_2592010699, t16);
    t1 = (t0 + 20128);
    t18 = (t1 + 56U);
    t19 = *((char **)t18);
    t20 = (t19 + 56U);
    t21 = *((char **)t20);
    *((unsigned char *)t21) = t17;
    xsi_driver_first_trans_fast_port(t1);

LAB2:    t22 = (t0 + 18944);
    *((int *)t22) = 1;

LAB1:    return;
LAB4:    goto LAB2;

}
static void work_a_0464918941_1181938964_p_15(char *t0)
{
    char *t1;
    char *t2;
    unsigned char t3;
    unsigned char t4;
    char *t5;
    char *t6;
    char *t7;
    char *t8;
    unsigned char t9;
    unsigned char t10;
    unsigned char t11;
    unsigned char t12;
    char *t13;
    char *t14;
    unsigned char t15;
    unsigned char t16;
    char *t17;

LAB0:    xsi_set_current_line(312, ng0);
    t1 = (t0 + 2848U);
    t2 = *((char **)t1);
    t3 = *((unsigned char *)t2);
    t4 = (t3 == (unsigned char)2);
    if (t4 != 0)
        goto LAB2;

LAB4:    t1 = (t0 + 708U);
    t4 = xsi_signal_has_event(t1);
    if (t4 == 1)
        goto LAB7;

LAB8:    t3 = (unsigned char)0;

LAB9:    if (t3 != 0)
        goto LAB5;

LAB6:
LAB3:    t1 = (t0 + 8716);
    *((int *)t1) = 1;

LAB1:    return;
LAB2:    xsi_set_current_line(313, ng0);
    t1 = (t0 + 9420);
    t5 = (t1 + 32U);
    t6 = *((char **)t5);
    t7 = (t6 + 40U);
    t8 = *((char **)t7);
    *((unsigned char *)t8) = (unsigned char)2;
    xsi_driver_first_trans_fast(t1);
    xsi_set_current_line(314, ng0);
    t1 = (t0 + 9456);
    t2 = (t1 + 32U);
    t5 = *((char **)t2);
    t6 = (t5 + 40U);
    t7 = *((char **)t6);
    *((unsigned char *)t7) = (unsigned char)2;
    xsi_driver_first_trans_fast(t1);
    xsi_set_current_line(315, ng0);
    t1 = (t0 + 9492);
    t2 = (t1 + 32U);
    t5 = *((char **)t2);
    t6 = (t5 + 40U);
    t7 = *((char **)t6);
    *((unsigned char *)t7) = (unsigned char)2;
    xsi_driver_first_trans_fast(t1);
    xsi_set_current_line(316, ng0);
    t1 = (t0 + 9528);
    t2 = (t1 + 32U);
    t5 = *((char **)t2);
    t6 = (t5 + 40U);
    t7 = *((char **)t6);
    *((unsigned char *)t7) = (unsigned char)2;
    xsi_driver_first_trans_fast(t1);
    goto LAB3;

LAB5:    xsi_set_current_line(318, ng0);
    t2 = (t0 + 824U);
    t6 = *((char **)t2);
    t11 = *((unsigned char *)t6);
    t12 = (t11 == (unsigned char)3);
    if (t12 != 0)
        goto LAB10;

LAB12:    xsi_set_current_line(324, ng0);
    t1 = (t0 + 4412U);
    t2 = *((char **)t1);
    t3 = *((unsigned char *)t2);
    t1 = (t0 + 4504U);
    t5 = *((char **)t1);
    t4 = *((unsigned char *)t5);
    t1 = (t0 + 3216U);
    t6 = *((char **)t1);
    t9 = *((unsigned char *)t6);
    t10 = ieee_p_2592010699_sub_1690584930_503743352(IEEE_P_2592010699, t9);
    t11 = ieee_p_2592010699_sub_1605435078_503743352(IEEE_P_2592010699, t4, t10);
    t12 = ieee_p_2592010699_sub_2545490612_503743352(IEEE_P_2592010699, t3, t11);
    t1 = (t0 + 9420);
    t7 = (t1 + 32U);
    t8 = *((char **)t7);
    t13 = (t8 + 40U);
    t14 = *((char **)t13);
    *((unsigned char *)t14) = t12;
    xsi_driver_first_trans_fast(t1);
    xsi_set_current_line(325, ng0);
    t1 = (t0 + 3952U);
    t2 = *((char **)t1);
    t3 = *((unsigned char *)t2);
    t1 = (t0 + 9456);
    t5 = (t1 + 32U);
    t6 = *((char **)t5);
    t7 = (t6 + 40U);
    t8 = *((char **)t7);
    *((unsigned char *)t8) = t3;
    xsi_driver_first_trans_fast(t1);
    xsi_set_current_line(326, ng0);
    t1 = (t0 + 3400U);
    t2 = *((char **)t1);
    t3 = *((unsigned char *)t2);
    t1 = (t0 + 3492U);
    t5 = *((char **)t1);
    t4 = *((unsigned char *)t5);
    t9 = ieee_p_2592010699_sub_2545490612_503743352(IEEE_P_2592010699, t3, t4);
    t1 = (t0 + 9492);
    t6 = (t1 + 32U);
    t7 = *((char **)t6);
    t8 = (t7 + 40U);
    t13 = *((char **)t8);
    *((unsigned char *)t13) = t9;
    xsi_driver_first_trans_fast(t1);
    xsi_set_current_line(329, ng0);
    t1 = (t0 + 3124U);
    t2 = *((char **)t1);
    t3 = *((unsigned char *)t2);
    t1 = (t0 + 4412U);
    t5 = *((char **)t1);
    t4 = *((unsigned char *)t5);
    t9 = ieee_p_2592010699_sub_2545490612_503743352(IEEE_P_2592010699, t3, t4);
    t1 = (t0 + 4228U);
    t6 = *((char **)t1);
    t10 = *((unsigned char *)t6);
    t11 = ieee_p_2592010699_sub_2545490612_503743352(IEEE_P_2592010699, t9, t10);
    t1 = (t0 + 3676U);
    t7 = *((char **)t1);
    t12 = *((unsigned char *)t7);
    t15 = ieee_p_2592010699_sub_1690584930_503743352(IEEE_P_2592010699, t12);
    t16 = ieee_p_2592010699_sub_1605435078_503743352(IEEE_P_2592010699, t11, t15);
    t1 = (t0 + 9528);
    t8 = (t1 + 32U);
    t13 = *((char **)t8);
    t14 = (t13 + 40U);
    t17 = *((char **)t14);
    *((unsigned char *)t17) = t16;
    xsi_driver_first_trans_fast(t1);

LAB11:    goto LAB3;

LAB7:    t2 = (t0 + 732U);
    t5 = *((char **)t2);
    t9 = *((unsigned char *)t5);
    t10 = (t9 == (unsigned char)3);
    t3 = t10;
    goto LAB9;

LAB10:    xsi_set_current_line(319, ng0);
    t2 = (t0 + 9420);
    t7 = (t2 + 32U);
    t8 = *((char **)t7);
    t13 = (t8 + 40U);
    t14 = *((char **)t13);
    *((unsigned char *)t14) = (unsigned char)2;
    xsi_driver_first_trans_fast(t2);
    xsi_set_current_line(320, ng0);
    t1 = (t0 + 9456);
    t2 = (t1 + 32U);
    t5 = *((char **)t2);
    t6 = (t5 + 40U);
    t7 = *((char **)t6);
    *((unsigned char *)t7) = (unsigned char)2;
    xsi_driver_first_trans_fast(t1);
    xsi_set_current_line(321, ng0);
    t1 = (t0 + 9492);
    t2 = (t1 + 32U);
    t5 = *((char **)t2);
    t6 = (t5 + 40U);
    t7 = *((char **)t6);
    *((unsigned char *)t7) = (unsigned char)2;
    xsi_driver_first_trans_fast(t1);
    xsi_set_current_line(322, ng0);
    t1 = (t0 + 9528);
    t2 = (t1 + 32U);
    t5 = *((char **)t2);
    t6 = (t5 + 40U);
    t7 = *((char **)t6);
    *((unsigned char *)t7) = (unsigned char)2;
    xsi_driver_first_trans_fast(t1);
    goto LAB11;

}
static void work_a_0464918941_1181938964_p_16(char *t0)
{
    char *t1;
    char *t2;
    unsigned char t3;
    unsigned char t4;
    char *t5;
    char *t6;
    char *t7;
    char *t8;
    unsigned char t9;
    unsigned char t10;
    unsigned char t11;
    unsigned char t12;
    char *t13;
    char *t14;

LAB0:    xsi_set_current_line(337, ng0);
    t1 = (t0 + 2848U);
    t2 = *((char **)t1);
    t3 = *((unsigned char *)t2);
    t4 = (t3 == (unsigned char)2);
    if (t4 != 0)
        goto LAB2;

LAB4:    t1 = (t0 + 708U);
    t4 = xsi_signal_has_event(t1);
    if (t4 == 1)
        goto LAB7;

LAB8:    t3 = (unsigned char)0;

LAB9:    if (t3 != 0)
        goto LAB5;

LAB6:
LAB3:    t1 = (t0 + 8724);
    *((int *)t1) = 1;

LAB1:    return;
LAB2:    xsi_set_current_line(338, ng0);
    t1 = (t0 + 9564);
    t5 = (t1 + 32U);
    t6 = *((char **)t5);
    t7 = (t6 + 40U);
    t8 = *((char **)t7);
    *((unsigned char *)t8) = (unsigned char)2;
    xsi_driver_first_trans_fast_port(t1);
    goto LAB3;

LAB5:    xsi_set_current_line(340, ng0);
    t2 = (t0 + 824U);
    t6 = *((char **)t2);
    t11 = *((unsigned char *)t6);
    t12 = (t11 == (unsigned char)3);
    if (t12 != 0)
        goto LAB10;

LAB12:    xsi_set_current_line(344, ng0);
    t1 = (t0 + 4228U);
    t2 = *((char **)t1);
    t3 = *((unsigned char *)t2);
    t1 = (t0 + 3860U);
    t5 = *((char **)t1);
    t4 = *((unsigned char *)t5);
    t9 = ieee_p_2592010699_sub_1605435078_503743352(IEEE_P_2592010699, t3, t4);
    t1 = (t0 + 9564);
    t6 = (t1 + 32U);
    t7 = *((char **)t6);
    t8 = (t7 + 40U);
    t13 = *((char **)t8);
    *((unsigned char *)t13) = t9;
    xsi_driver_first_trans_fast_port(t1);

LAB11:    goto LAB3;

LAB7:    t2 = (t0 + 732U);
    t5 = *((char **)t2);
    t9 = *((unsigned char *)t5);
    t10 = (t9 == (unsigned char)3);
    t3 = t10;
    goto LAB9;

LAB10:    xsi_set_current_line(341, ng0);
    t2 = (t0 + 9564);
    t7 = (t2 + 32U);
    t8 = *((char **)t7);
    t13 = (t8 + 40U);
    t14 = *((char **)t13);
    *((unsigned char *)t14) = (unsigned char)2;
    xsi_driver_first_trans_fast_port(t2);
    goto LAB11;

}
static void work_a_3324376419_3212880686_p_8(char *t0)
{
    char *t1;
    char *t2;
    char *t3;
    int t4;
    int t5;
    unsigned int t6;
    unsigned int t7;
    unsigned int t8;
    unsigned char t9;
    char *t10;
    char *t11;
    char *t12;
    int t13;
    int t14;
    unsigned int t15;
    unsigned int t16;
    unsigned int t17;
    unsigned char t18;
    unsigned char t19;
    char *t20;
    char *t21;
    char *t22;
    int t23;
    int t24;
    unsigned int t25;
    unsigned int t26;
    unsigned int t27;
    unsigned char t28;
    char *t29;
    char *t30;
    char *t31;
    int t32;
    int t33;
    unsigned int t34;
    unsigned int t35;
    unsigned int t36;
    unsigned char t37;
    unsigned char t38;
    unsigned char t39;
    char *t40;
    char *t41;
    char *t42;
    int t43;
    int t44;
    unsigned int t45;
    unsigned int t46;
    unsigned int t47;
    unsigned char t48;
    char *t49;
    char *t50;
    char *t51;
    int t52;
    int t53;
    unsigned int t54;
    unsigned int t55;
    unsigned int t56;
    unsigned char t57;
    unsigned char t58;
    unsigned char t59;
    char *t60;
    char *t61;
    char *t62;
    char *t63;
    char *t64;
    char *t65;

LAB0:    xsi_set_current_line(43, ng0);

LAB3:    t1 = (t0 + 1152U);
    t2 = *((char **)t1);
    t1 = (t0 + 1988U);
    t3 = *((char **)t1);
    t4 = *((int *)t3);
    t5 = (t4 - 3);
    t6 = (t5 * -1);
    t7 = (1U * t6);
    t8 = (0 + t7);
    t1 = (t2 + t8);
    t9 = *((unsigned char *)t1);
    t10 = (t0 + 1244U);
    t11 = *((char **)t10);
    t10 = (t0 + 1988U);
    t12 = *((char **)t10);
    t13 = *((int *)t12);
    t14 = (t13 - 3);
    t15 = (t14 * -1);
    t16 = (1U * t15);
    t17 = (0 + t16);
    t10 = (t11 + t17);
    t18 = *((unsigned char *)t10);
    t19 = ieee_p_2592010699_sub_1605435078_503743352(IEEE_P_2592010699, t9, t18);
    t20 = (t0 + 1152U);
    t21 = *((char **)t20);
    t20 = (t0 + 1988U);
    t22 = *((char **)t20);
    t23 = *((int *)t22);
    t24 = (t23 - 3);
    t25 = (t24 * -1);
    t26 = (1U * t25);
    t27 = (0 + t26);
    t20 = (t21 + t27);
    t28 = *((unsigned char *)t20);
    t29 = (t0 + 1612U);
    t30 = *((char **)t29);
    t29 = (t0 + 1988U);
    t31 = *((char **)t29);
    t32 = *((int *)t31);
    t33 = (t32 - 4);
    t34 = (t33 * -1);
    t35 = (1U * t34);
    t36 = (0 + t35);
    t29 = (t30 + t36);
    t37 = *((unsigned char *)t29);
    t38 = ieee_p_2592010699_sub_1605435078_503743352(IEEE_P_2592010699, t28, t37);
    t39 = ieee_p_2592010699_sub_2545490612_503743352(IEEE_P_2592010699, t19, t38);
    t40 = (t0 + 1244U);
    t41 = *((char **)t40);
    t40 = (t0 + 1988U);
    t42 = *((char **)t40);
    t43 = *((int *)t42);
    t44 = (t43 - 3);
    t45 = (t44 * -1);
    t46 = (1U * t45);
    t47 = (0 + t46);
    t40 = (t41 + t47);
    t48 = *((unsigned char *)t40);
    t49 = (t0 + 1612U);
    t50 = *((char **)t49);
    t49 = (t0 + 1988U);
    t51 = *((char **)t49);
    t52 = *((int *)t51);
    t53 = (t52 - 4);
    t54 = (t53 * -1);
    t55 = (1U * t54);
    t56 = (0 + t55);
    t49 = (t50 + t56);
    t57 = *((unsigned char *)t49);
    t58 = ieee_p_2592010699_sub_1605435078_503743352(IEEE_P_2592010699, t48, t57);
    t59 = ieee_p_2592010699_sub_2545490612_503743352(IEEE_P_2592010699, t39, t58);
    t60 = (t0 + 4440);
    t61 = (t60 + 32U);
    t62 = *((char **)t61);
    t63 = (t62 + 40U);
    t64 = *((char **)t63);
    *((unsigned char *)t64) = t59;
    xsi_driver_first_trans_delta(t60, 0U, 1, 0LL);

LAB2:    t65 = (t0 + 4100);
    *((int *)t65) = 1;

LAB1:    return;
LAB4:    goto LAB2;

}
static void work_a_2399776393_1181938964_p_0(char *t0)
{
    char *t1;
    char *t2;
    int t3;
    unsigned int t4;
    unsigned int t5;
    unsigned int t6;
    unsigned char t7;
    char *t8;
    char *t9;
    int t10;
    unsigned int t11;
    unsigned int t12;
    unsigned int t13;
    unsigned char t14;
    unsigned char t15;
    char *t16;
    char *t17;
    int t18;
    unsigned int t19;
    unsigned int t20;
    unsigned int t21;
    unsigned char t22;
    unsigned char t23;
    char *t24;
    char *t25;
    int t26;
    unsigned int t27;
    unsigned int t28;
    unsigned int t29;
    unsigned char t30;
    unsigned char t31;
    char *t32;
    char *t33;
    int t34;
    unsigned int t35;
    unsigned int t36;
    unsigned int t37;
    unsigned char t38;
    unsigned char t39;
    unsigned char t40;
    char *t41;
    char *t42;
    char *t43;
    char *t44;
    char *t45;
    char *t46;

LAB0:    xsi_set_current_line(64, ng0);

LAB3:    t1 = (t0 + 3912U);
    t2 = *((char **)t1);
    t3 = (0 - 4);
    t4 = (t3 * -1);
    t5 = (1U * t4);
    t6 = (0 + t5);
    t1 = (t2 + t6);
    t7 = *((unsigned char *)t1);
    t8 = (t0 + 3912U);
    t9 = *((char **)t8);
    t10 = (1 - 4);
    t11 = (t10 * -1);
    t12 = (1U * t11);
    t13 = (0 + t12);
    t8 = (t9 + t13);
    t14 = *((unsigned char *)t8);
    t15 = ieee_p_2592010699_sub_1605435078_503743352(IEEE_P_2592010699, t7, t14);
    t16 = (t0 + 3912U);
    t17 = *((char **)t16);
    t18 = (2 - 4);
    t19 = (t18 * -1);
    t20 = (1U * t19);
    t21 = (0 + t20);
    t16 = (t17 + t21);
    t22 = *((unsigned char *)t16);
    t23 = ieee_p_2592010699_sub_1605435078_503743352(IEEE_P_2592010699, t15, t22);
    t24 = (t0 + 3912U);
    t25 = *((char **)t24);
    t26 = (4 - 4);
    t27 = (t26 * -1);
    t28 = (1U * t27);
    t29 = (0 + t28);
    t24 = (t25 + t29);
    t30 = *((unsigned char *)t24);
    t31 = ieee_p_2592010699_sub_1605435078_503743352(IEEE_P_2592010699, t23, t30);
    t32 = (t0 + 3912U);
    t33 = *((char **)t32);
    t34 = (3 - 4);
    t35 = (t34 * -1);
    t36 = (1U * t35);
    t37 = (0 + t36);
    t32 = (t33 + t37);
    t38 = *((unsigned char *)t32);
    t39 = ieee_p_2592010699_sub_1690584930_503743352(IEEE_P_2592010699, t38);
    t40 = ieee_p_2592010699_sub_1605435078_503743352(IEEE_P_2592010699, t31, t39);
    t41 = (t0 + 6000);
    t42 = (t41 + 56U);
    t43 = *((char **)t42);
    t44 = (t43 + 56U);
    t45 = *((char **)t44);
    *((unsigned char *)t45) = t40;
    xsi_driver_first_trans_fast_port(t41);

LAB2:    t46 = (t0 + 5888);
    *((int *)t46) = 1;

LAB1:    return;
LAB4:    goto LAB2;

}
static void mdm_v2_00_b_a_3871516802_3306564128_p_11(char *t0)
{
    char *t1;
    char *t2;
    unsigned char t3;
    char *t4;
    char *t5;
    int t6;
    int t7;
    unsigned int t8;
    unsigned int t9;
    unsigned int t10;
    unsigned char t11;
    unsigned char t12;
    char *t13;
    char *t14;
    unsigned char t15;
    char *t16;
    unsigned char t17;
    unsigned char t18;
    unsigned char t19;
    unsigned char t20;
    char *t21;
    char *t22;
    char *t23;
    char *t24;
    char *t25;

LAB0:    xsi_set_current_line(139, ng0);

LAB3:    t1 = (t0 + 5632U);
    t2 = *((char **)t1);
    t3 = *((unsigned char *)t2);
    t1 = (t0 + 6272U);
    t4 = *((char **)t1);
    t1 = (t0 + 8448U);
    t5 = *((char **)t1);
    t6 = *((int *)t5);
    t7 = (t6 - 0);
    t8 = (t7 * 1);
    t9 = (1U * t8);
    t10 = (0 + t9);
    t1 = (t4 + t10);
    t11 = *((unsigned char *)t1);
    t12 = ieee_p_2592010699_sub_2507238156_503743352(IEEE_P_2592010699, t3, t11);
    t13 = (t0 + 5312U);
    t14 = *((char **)t13);
    t15 = *((unsigned char *)t14);
    t13 = (t0 + 6592U);
    t16 = *((char **)t13);
    t17 = *((unsigned char *)t16);
    t18 = ieee_p_2592010699_sub_1690584930_503743352(IEEE_P_2592010699, t17);
    t19 = ieee_p_2592010699_sub_2545490612_503743352(IEEE_P_2592010699, t15, t18);
    t20 = ieee_p_2592010699_sub_1605435078_503743352(IEEE_P_2592010699, t12, t19);
    t13 = (t0 + 14400);
    t21 = (t13 + 56U);
    t22 = *((char **)t21);
    t23 = (t22 + 56U);
    t24 = *((char **)t23);
    *((unsigned char *)t24) = t20;
    xsi_driver_first_trans_delta(t13, 3U, 1, 0LL);

LAB2:    t25 = (t0 + 13616);
    *((int *)t25) = 1;

LAB1:    return;
LAB4:    goto LAB2;

}
Пример #15
0
static void work_a_3565583652_3212880686_p_5(char *t0)
{
    unsigned char t1;
    unsigned char t2;
    unsigned char t3;
    char *t4;
    char *t5;
    unsigned char t6;
    unsigned char t7;
    char *t8;
    unsigned char t9;
    unsigned char t10;
    char *t11;
    unsigned char t12;
    unsigned char t13;
    char *t14;
    unsigned char t15;
    unsigned char t16;
    unsigned char t17;
    char *t18;
    unsigned char t19;
    unsigned char t20;
    unsigned char t21;
    char *t22;
    int t23;
    unsigned int t24;
    unsigned int t25;
    unsigned int t26;
    unsigned char t27;
    unsigned char t28;
    char *t29;
    char *t30;
    int t31;
    unsigned int t32;
    unsigned int t33;
    unsigned int t34;
    unsigned char t35;
    unsigned char t36;
    char *t37;
    char *t38;
    char *t39;
    char *t40;
    char *t41;
    unsigned char t42;
    int t43;
    unsigned int t44;
    unsigned int t45;
    unsigned int t46;
    unsigned char t47;
    unsigned char t48;
    char *t49;
    char *t50;
    static char *nl0[] = {&&LAB40, &&LAB41, &&LAB42};

LAB0:    xsi_set_current_line(99, ng0);
    t4 = (t0 + 1832U);
    t5 = *((char **)t4);
    t6 = *((unsigned char *)t5);
    t7 = (t6 == (unsigned char)3);
    if (t7 == 1)
        goto LAB11;

LAB12:    t3 = (unsigned char)0;

LAB13:    if (t3 == 1)
        goto LAB8;

LAB9:    t2 = (unsigned char)0;

LAB10:    if (t2 == 1)
        goto LAB5;

LAB6:    t1 = (unsigned char)0;

LAB7:    if (t1 != 0)
        goto LAB2;

LAB4:    xsi_set_current_line(108, ng0);
    t4 = (t0 + 7272);
    t5 = (t4 + 56U);
    t8 = *((char **)t5);
    t11 = (t8 + 56U);
    t14 = *((char **)t11);
    *((unsigned char *)t14) = (unsigned char)2;
    xsi_driver_first_trans_fast_port(t4);

LAB3:    xsi_set_current_line(112, ng0);
    t4 = (t0 + 4072U);
    t5 = *((char **)t4);
    t1 = *((unsigned char *)t5);
    t2 = (t1 == (unsigned char)1);
    if (t2 != 0)
        goto LAB31;

LAB33:    t4 = (t0 + 4072U);
    t5 = *((char **)t4);
    t1 = *((unsigned char *)t5);
    t2 = (t1 == (unsigned char)2);
    if (t2 != 0)
        goto LAB34;

LAB35:
LAB32:    xsi_set_current_line(118, ng0);
    t4 = (t0 + 992U);
    t1 = ieee_p_2592010699_sub_1744673427_503743352(IEEE_P_2592010699, t4, 0U, 0U);
    if (t1 != 0)
        goto LAB36;

LAB38:
LAB37:    t4 = (t0 + 6872);
    *((int *)t4) = 1;

LAB1:    return;
LAB2:    xsi_set_current_line(100, ng0);
    t4 = (t0 + 4072U);
    t18 = *((char **)t4);
    t19 = *((unsigned char *)t18);
    t20 = (t19 == (unsigned char)1);
    if (t20 == 1)
        goto LAB17;

LAB18:    t17 = (unsigned char)0;

LAB19:    if (t17 != 0)
        goto LAB14;

LAB16:    t4 = (t0 + 4072U);
    t5 = *((char **)t4);
    t2 = *((unsigned char *)t5);
    t3 = (t2 == (unsigned char)2);
    if (t3 == 1)
        goto LAB25;

LAB26:    t1 = (unsigned char)0;

LAB27:    if (t1 != 0)
        goto LAB23;

LAB24:    xsi_set_current_line(105, ng0);
    t4 = (t0 + 7272);
    t5 = (t4 + 56U);
    t8 = *((char **)t5);
    t11 = (t8 + 56U);
    t14 = *((char **)t11);
    *((unsigned char *)t14) = (unsigned char)2;
    xsi_driver_first_trans_fast_port(t4);

LAB15:    goto LAB3;

LAB5:    t4 = (t0 + 3272U);
    t14 = *((char **)t4);
    t15 = *((unsigned char *)t14);
    t16 = (t15 == (unsigned char)3);
    t1 = t16;
    goto LAB7;

LAB8:    t4 = (t0 + 2792U);
    t11 = *((char **)t4);
    t12 = *((unsigned char *)t11);
    t13 = (t12 == (unsigned char)3);
    t2 = t13;
    goto LAB10;

LAB11:    t4 = (t0 + 2312U);
    t8 = *((char **)t4);
    t9 = *((unsigned char *)t8);
    t10 = (t9 == (unsigned char)3);
    t3 = t10;
    goto LAB13;

LAB14:    xsi_set_current_line(101, ng0);
    t37 = (t0 + 7272);
    t38 = (t37 + 56U);
    t39 = *((char **)t38);
    t40 = (t39 + 56U);
    t41 = *((char **)t40);
    *((unsigned char *)t41) = (unsigned char)3;
    xsi_driver_first_trans_fast_port(t37);
    goto LAB15;

LAB17:    t4 = (t0 + 2152U);
    t22 = *((char **)t4);
    t23 = (0 - 0);
    t24 = (t23 * -1);
    t25 = (1U * t24);
    t26 = (0 + t25);
    t4 = (t22 + t26);
    t27 = *((unsigned char *)t4);
    t28 = (t27 == (unsigned char)3);
    if (t28 == 1)
        goto LAB20;

LAB21:    t29 = (t0 + 3112U);
    t30 = *((char **)t29);
    t31 = (0 - 0);
    t32 = (t31 * -1);
    t33 = (1U * t32);
    t34 = (0 + t33);
    t29 = (t30 + t34);
    t35 = *((unsigned char *)t29);
    t36 = (t35 == (unsigned char)3);
    t21 = t36;

LAB22:    t17 = t21;
    goto LAB19;

LAB20:    t21 = (unsigned char)1;
    goto LAB22;

LAB23:    xsi_set_current_line(103, ng0);
    t18 = (t0 + 7272);
    t22 = (t18 + 56U);
    t29 = *((char **)t22);
    t30 = (t29 + 56U);
    t37 = *((char **)t30);
    *((unsigned char *)t37) = (unsigned char)3;
    xsi_driver_first_trans_fast_port(t18);
    goto LAB15;

LAB25:    t4 = (t0 + 2632U);
    t8 = *((char **)t4);
    t23 = (0 - 0);
    t24 = (t23 * -1);
    t25 = (1U * t24);
    t26 = (0 + t25);
    t4 = (t8 + t26);
    t7 = *((unsigned char *)t4);
    t9 = (t7 == (unsigned char)3);
    if (t9 == 1)
        goto LAB28;

LAB29:    t6 = (unsigned char)0;

LAB30:    t1 = t6;
    goto LAB27;

LAB28:    t11 = (t0 + 3112U);
    t14 = *((char **)t11);
    t31 = (0 - 0);
    t32 = (t31 * -1);
    t33 = (1U * t32);
    t34 = (0 + t33);
    t11 = (t14 + t34);
    t10 = *((unsigned char *)t11);
    t12 = (t10 == (unsigned char)3);
    t6 = t12;
    goto LAB30;

LAB31:    xsi_set_current_line(113, ng0);
    t4 = (t0 + 2632U);
    t8 = *((char **)t4);
    t23 = (0 - 0);
    t24 = (t23 * -1);
    t25 = (1U * t24);
    t26 = (0 + t25);
    t4 = (t8 + t26);
    t3 = *((unsigned char *)t4);
    t11 = (t0 + 3112U);
    t14 = *((char **)t11);
    t31 = (0 - 0);
    t32 = (t31 * -1);
    t33 = (1U * t32);
    t34 = (0 + t33);
    t11 = (t14 + t34);
    t6 = *((unsigned char *)t11);
    t7 = ieee_p_2592010699_sub_1605435078_503743352(IEEE_P_2592010699, t3, t6);
    t18 = (t0 + 7336);
    t22 = (t18 + 56U);
    t29 = *((char **)t22);
    t30 = (t29 + 56U);
    t37 = *((char **)t30);
    *((unsigned char *)t37) = t7;
    xsi_driver_first_trans_delta(t18, 0U, 1, 0LL);
    goto LAB32;

LAB34:    xsi_set_current_line(115, ng0);
    t4 = (t0 + 7336);
    t8 = (t4 + 56U);
    t11 = *((char **)t8);
    t14 = (t11 + 56U);
    t18 = *((char **)t14);
    *((unsigned char *)t18) = (unsigned char)3;
    xsi_driver_first_trans_delta(t4, 0U, 1, 0LL);
    goto LAB32;

LAB36:    xsi_set_current_line(119, ng0);
    t5 = (t0 + 4072U);
    t8 = *((char **)t5);
    t2 = *((unsigned char *)t8);
    t5 = (char *)((nl0) + t2);
    goto **((char **)t5);

LAB39:    goto LAB37;

LAB40:    xsi_set_current_line(122, ng0);
    t11 = (t0 + 1352U);
    t14 = *((char **)t11);
    t3 = *((unsigned char *)t14);
    t6 = (t3 == (unsigned char)3);
    if (t6 != 0)
        goto LAB43;

LAB45:
LAB44:    goto LAB39;

LAB41:    xsi_set_current_line(133, ng0);
    t4 = (t0 + 2792U);
    t5 = *((char **)t4);
    t9 = *((unsigned char *)t5);
    t10 = (t9 == (unsigned char)3);
    if (t10 == 1)
        goto LAB66;

LAB67:    t7 = (unsigned char)0;

LAB68:    if (t7 == 1)
        goto LAB63;

LAB64:    t6 = (unsigned char)0;

LAB65:    if (t6 == 1)
        goto LAB60;

LAB61:    t3 = (unsigned char)0;

LAB62:    if (t3 == 1)
        goto LAB57;

LAB58:    t2 = (unsigned char)0;

LAB59:    if (t2 == 1)
        goto LAB54;

LAB55:    t1 = (unsigned char)0;

LAB56:    if (t1 != 0)
        goto LAB51;

LAB53:
LAB52:    goto LAB39;

LAB42:    xsi_set_current_line(146, ng0);
    t4 = (t0 + 2792U);
    t5 = *((char **)t4);
    t9 = *((unsigned char *)t5);
    t10 = (t9 == (unsigned char)3);
    if (t10 == 1)
        goto LAB95;

LAB96:    t7 = (unsigned char)0;

LAB97:    if (t7 == 1)
        goto LAB92;

LAB93:    t6 = (unsigned char)0;

LAB94:    if (t6 == 1)
        goto LAB89;

LAB90:    t3 = (unsigned char)0;

LAB91:    if (t3 == 1)
        goto LAB86;

LAB87:    t2 = (unsigned char)0;

LAB88:    if (t2 == 1)
        goto LAB83;

LAB84:    t1 = (unsigned char)0;

LAB85:    if (t1 != 0)
        goto LAB80;

LAB82:
LAB81:    goto LAB39;

LAB43:    xsi_set_current_line(123, ng0);
    t11 = (t0 + 1192U);
    t18 = *((char **)t11);
    t23 = (0 - 0);
    t24 = (t23 * -1);
    t25 = (1U * t24);
    t26 = (0 + t25);
    t11 = (t18 + t26);
    t7 = *((unsigned char *)t11);
    t9 = (t7 == (unsigned char)2);
    if (t9 != 0)
        goto LAB46;

LAB48:    t4 = (t0 + 1192U);
    t5 = *((char **)t4);
    t23 = (0 - 0);
    t24 = (t23 * -1);
    t25 = (1U * t24);
    t26 = (0 + t25);
    t4 = (t5 + t26);
    t1 = *((unsigned char *)t4);
    t2 = (t1 == (unsigned char)3);
    if (t2 != 0)
        goto LAB49;

LAB50:
LAB47:    goto LAB44;

LAB46:    xsi_set_current_line(124, ng0);
    t22 = (t0 + 7400);
    t29 = (t22 + 56U);
    t30 = *((char **)t29);
    t37 = (t30 + 56U);
    t38 = *((char **)t37);
    *((unsigned char *)t38) = (unsigned char)1;
    xsi_driver_first_trans_fast(t22);
    goto LAB47;

LAB49:    xsi_set_current_line(126, ng0);
    t8 = (t0 + 7400);
    t11 = (t8 + 56U);
    t14 = *((char **)t11);
    t18 = (t14 + 56U);
    t22 = *((char **)t18);
    *((unsigned char *)t22) = (unsigned char)2;
    xsi_driver_first_trans_fast(t8);
    goto LAB47;

LAB51:    xsi_set_current_line(134, ng0);
    t30 = (t0 + 1352U);
    t37 = *((char **)t30);
    t36 = *((unsigned char *)t37);
    t42 = (t36 == (unsigned char)3);
    if (t42 == 1)
        goto LAB72;

LAB73:    t35 = (unsigned char)0;

LAB74:    if (t35 != 0)
        goto LAB69;

LAB71:    t4 = (t0 + 1352U);
    t5 = *((char **)t4);
    t2 = *((unsigned char *)t5);
    t3 = (t2 == (unsigned char)3);
    if (t3 == 1)
        goto LAB77;

LAB78:    t1 = (unsigned char)0;

LAB79:    if (t1 != 0)
        goto LAB75;

LAB76:    xsi_set_current_line(139, ng0);
    t4 = (t0 + 7400);
    t5 = (t4 + 56U);
    t8 = *((char **)t5);
    t11 = (t8 + 56U);
    t14 = *((char **)t11);
    *((unsigned char *)t14) = (unsigned char)0;
    xsi_driver_first_trans_fast(t4);

LAB70:    goto LAB52;

LAB54:    t22 = (t0 + 2152U);
    t29 = *((char **)t22);
    t31 = (0 - 0);
    t32 = (t31 * -1);
    t33 = (1U * t32);
    t34 = (0 + t33);
    t22 = (t29 + t34);
    t27 = *((unsigned char *)t22);
    t28 = (t27 == (unsigned char)3);
    t1 = t28;
    goto LAB56;

LAB57:    t4 = (t0 + 1672U);
    t18 = *((char **)t4);
    t23 = (0 - 0);
    t24 = (t23 * -1);
    t25 = (1U * t24);
    t26 = (0 + t25);
    t4 = (t18 + t26);
    t20 = *((unsigned char *)t4);
    t21 = (t20 == (unsigned char)3);
    t2 = t21;
    goto LAB59;

LAB60:    t4 = (t0 + 2312U);
    t14 = *((char **)t4);
    t17 = *((unsigned char *)t14);
    t19 = (t17 == (unsigned char)3);
    t3 = t19;
    goto LAB62;

LAB63:    t4 = (t0 + 1832U);
    t11 = *((char **)t4);
    t15 = *((unsigned char *)t11);
    t16 = (t15 == (unsigned char)3);
    t6 = t16;
    goto LAB65;

LAB66:    t4 = (t0 + 3272U);
    t8 = *((char **)t4);
    t12 = *((unsigned char *)t8);
    t13 = (t12 == (unsigned char)3);
    t7 = t13;
    goto LAB68;

LAB69:    xsi_set_current_line(135, ng0);
    t39 = (t0 + 7400);
    t40 = (t39 + 56U);
    t41 = *((char **)t40);
    t49 = (t41 + 56U);
    t50 = *((char **)t49);
    *((unsigned char *)t50) = (unsigned char)1;
    xsi_driver_first_trans_fast(t39);
    goto LAB70;

LAB72:    t30 = (t0 + 1192U);
    t38 = *((char **)t30);
    t43 = (0 - 0);
    t44 = (t43 * -1);
    t45 = (1U * t44);
    t46 = (0 + t45);
    t30 = (t38 + t46);
    t47 = *((unsigned char *)t30);
    t48 = (t47 == (unsigned char)2);
    t35 = t48;
    goto LAB74;

LAB75:    xsi_set_current_line(137, ng0);
    t11 = (t0 + 7400);
    t14 = (t11 + 56U);
    t18 = *((char **)t14);
    t22 = (t18 + 56U);
    t29 = *((char **)t22);
    *((unsigned char *)t29) = (unsigned char)2;
    xsi_driver_first_trans_fast(t11);
    goto LAB70;

LAB77:    t4 = (t0 + 1192U);
    t8 = *((char **)t4);
    t23 = (0 - 0);
    t24 = (t23 * -1);
    t25 = (1U * t24);
    t26 = (0 + t25);
    t4 = (t8 + t26);
    t6 = *((unsigned char *)t4);
    t7 = (t6 == (unsigned char)3);
    t1 = t7;
    goto LAB79;

LAB80:    xsi_set_current_line(147, ng0);
    t30 = (t0 + 1352U);
    t37 = *((char **)t30);
    t36 = *((unsigned char *)t37);
    t42 = (t36 == (unsigned char)3);
    if (t42 == 1)
        goto LAB101;

LAB102:    t35 = (unsigned char)0;

LAB103:    if (t35 != 0)
        goto LAB98;

LAB100:    t4 = (t0 + 1352U);
    t5 = *((char **)t4);
    t2 = *((unsigned char *)t5);
    t3 = (t2 == (unsigned char)3);
    if (t3 == 1)
        goto LAB106;

LAB107:    t1 = (unsigned char)0;

LAB108:    if (t1 != 0)
        goto LAB104;

LAB105:    xsi_set_current_line(152, ng0);
    t4 = (t0 + 7400);
    t5 = (t4 + 56U);
    t8 = *((char **)t5);
    t11 = (t8 + 56U);
    t14 = *((char **)t11);
    *((unsigned char *)t14) = (unsigned char)0;
    xsi_driver_first_trans_fast(t4);

LAB99:    goto LAB81;

LAB83:    t22 = (t0 + 2152U);
    t29 = *((char **)t22);
    t31 = (0 - 0);
    t32 = (t31 * -1);
    t33 = (1U * t32);
    t34 = (0 + t33);
    t22 = (t29 + t34);
    t27 = *((unsigned char *)t22);
    t28 = (t27 == (unsigned char)3);
    t1 = t28;
    goto LAB85;

LAB86:    t4 = (t0 + 1672U);
    t18 = *((char **)t4);
    t23 = (0 - 0);
    t24 = (t23 * -1);
    t25 = (1U * t24);
    t26 = (0 + t25);
    t4 = (t18 + t26);
    t20 = *((unsigned char *)t4);
    t21 = (t20 == (unsigned char)3);
    t2 = t21;
    goto LAB88;

LAB89:    t4 = (t0 + 2312U);
    t14 = *((char **)t4);
    t17 = *((unsigned char *)t14);
    t19 = (t17 == (unsigned char)3);
    t3 = t19;
    goto LAB91;

LAB92:    t4 = (t0 + 1832U);
    t11 = *((char **)t4);
    t15 = *((unsigned char *)t11);
    t16 = (t15 == (unsigned char)3);
    t6 = t16;
    goto LAB94;

LAB95:    t4 = (t0 + 3272U);
    t8 = *((char **)t4);
    t12 = *((unsigned char *)t8);
    t13 = (t12 == (unsigned char)3);
    t7 = t13;
    goto LAB97;

LAB98:    xsi_set_current_line(148, ng0);
    t39 = (t0 + 7400);
    t40 = (t39 + 56U);
    t41 = *((char **)t40);
    t49 = (t41 + 56U);
    t50 = *((char **)t49);
    *((unsigned char *)t50) = (unsigned char)1;
    xsi_driver_first_trans_fast(t39);
    goto LAB99;

LAB101:    t30 = (t0 + 1192U);
    t38 = *((char **)t30);
    t43 = (0 - 0);
    t44 = (t43 * -1);
    t45 = (1U * t44);
    t46 = (0 + t45);
    t30 = (t38 + t46);
    t47 = *((unsigned char *)t30);
    t48 = (t47 == (unsigned char)2);
    t35 = t48;
    goto LAB103;

LAB104:    xsi_set_current_line(150, ng0);
    t11 = (t0 + 7400);
    t14 = (t11 + 56U);
    t18 = *((char **)t14);
    t22 = (t18 + 56U);
    t29 = *((char **)t22);
    *((unsigned char *)t29) = (unsigned char)2;
    xsi_driver_first_trans_fast(t11);
    goto LAB99;

LAB106:    t4 = (t0 + 1192U);
    t8 = *((char **)t4);
    t23 = (0 - 0);
    t24 = (t23 * -1);
    t25 = (1U * t24);
    t26 = (0 + t25);
    t4 = (t8 + t26);
    t6 = *((unsigned char *)t4);
    t7 = (t6 == (unsigned char)3);
    t1 = t7;
    goto LAB108;

}


extern void work_a_3565583652_3212880686_init()
{
	static char *pe[] = {(void *)work_a_3565583652_3212880686_p_0,(void *)work_a_3565583652_3212880686_p_1,(void *)work_a_3565583652_3212880686_p_2,(void *)work_a_3565583652_3212880686_p_3,(void *)work_a_3565583652_3212880686_p_4,(void *)work_a_3565583652_3212880686_p_5};
	xsi_register_didat("work_a_3565583652_3212880686", "isim/Test_BiasNeuron_isim_beh.exe.sim/work/a_3565583652_3212880686.didat");
	xsi_register_executes(pe);
}
Пример #16
0
static void work_a_0286164271_3212880686_p_16(char *t0)
{
    char *t1;
    char *t2;
    unsigned char t3;
    char *t4;
    unsigned char t5;
    unsigned char t6;
    char *t7;
    unsigned char t8;
    char *t9;
    unsigned char t10;
    unsigned char t11;
    char *t12;
    unsigned char t13;
    unsigned char t14;
    unsigned char t15;
    char *t16;
    unsigned char t17;
    unsigned char t18;
    unsigned char t19;
    char *t20;
    char *t21;
    char *t22;
    char *t23;
    char *t24;

LAB0:    xsi_set_current_line(93, ng0);

LAB3:    t1 = (t0 + 6152U);
    t2 = *((char **)t1);
    t3 = *((unsigned char *)t2);
    t1 = (t0 + 8712U);
    t4 = *((char **)t1);
    t5 = *((unsigned char *)t4);
    t6 = ieee_p_2592010699_sub_1605435078_503743352(IEEE_P_2592010699, t3, t5);
    t1 = (t0 + 8872U);
    t7 = *((char **)t1);
    t8 = *((unsigned char *)t7);
    t1 = (t0 + 1832U);
    t9 = *((char **)t1);
    t10 = *((unsigned char *)t9);
    t11 = ieee_p_2592010699_sub_1605435078_503743352(IEEE_P_2592010699, t8, t10);
    t1 = (t0 + 6312U);
    t12 = *((char **)t1);
    t13 = *((unsigned char *)t12);
    t14 = ieee_p_2592010699_sub_1605435078_503743352(IEEE_P_2592010699, t11, t13);
    t15 = ieee_p_2592010699_sub_2545490612_503743352(IEEE_P_2592010699, t6, t14);
    t1 = (t0 + 8072U);
    t16 = *((char **)t1);
    t17 = *((unsigned char *)t16);
    t18 = ieee_p_2592010699_sub_2545490612_503743352(IEEE_P_2592010699, t15, t17);
    t19 = ieee_p_2592010699_sub_1690584930_503743352(IEEE_P_2592010699, t18);
    t1 = (t0 + 20192);
    t20 = (t1 + 56U);
    t21 = *((char **)t20);
    t22 = (t21 + 56U);
    t23 = *((char **)t22);
    *((unsigned char *)t23) = t19;
    xsi_driver_first_trans_fast(t1);

LAB2:    t24 = (t0 + 18960);
    *((int *)t24) = 1;

LAB1:    return;
LAB4:    goto LAB2;

}
Пример #17
0
static void work_a_3307859654_3212880686_p_2(char *t0)
{
    char *t1;
    char *t2;
    unsigned char t3;
    unsigned char t4;
    char *t5;
    char *t6;
    char *t7;
    char *t8;
    unsigned char t9;
    unsigned char t10;
    unsigned char t11;
    unsigned char t12;
    unsigned char t13;
    unsigned char t14;
    unsigned char t15;
    char *t16;
    char *t17;
    char *t18;
    char *t19;

LAB0:    xsi_set_current_line(107, ng0);
    t1 = (t0 + 1032U);
    t2 = *((char **)t1);
    t3 = *((unsigned char *)t2);
    t4 = (t3 == (unsigned char)3);
    if (t4 != 0)
        goto LAB2;

LAB4:    t1 = (t0 + 1792U);
    t3 = ieee_p_2592010699_sub_1744673427_503743352(IEEE_P_2592010699, t1, 0U, 0U);
    if (t3 != 0)
        goto LAB5;

LAB6:
LAB3:    t1 = (t0 + 9296);
    *((int *)t1) = 1;

LAB1:    return;
LAB2:    xsi_set_current_line(108, ng0);
    t1 = (t0 + 9712);
    t5 = (t1 + 56U);
    t6 = *((char **)t5);
    t7 = (t6 + 56U);
    t8 = *((char **)t7);
    *((unsigned char *)t8) = (unsigned char)2;
    xsi_driver_first_trans_fast(t1);
    xsi_set_current_line(109, ng0);
    t1 = (t0 + 9776);
    t2 = (t1 + 56U);
    t5 = *((char **)t2);
    t6 = (t5 + 56U);
    t7 = *((char **)t6);
    *((unsigned char *)t7) = (unsigned char)2;
    xsi_driver_first_trans_fast(t1);
    xsi_set_current_line(110, ng0);
    t1 = (t0 + 9840);
    t2 = (t1 + 56U);
    t5 = *((char **)t2);
    t6 = (t5 + 56U);
    t7 = *((char **)t6);
    *((unsigned char *)t7) = (unsigned char)2;
    xsi_driver_first_trans_fast(t1);
    xsi_set_current_line(111, ng0);
    t1 = (t0 + 9904);
    t2 = (t1 + 56U);
    t5 = *((char **)t2);
    t6 = (t5 + 56U);
    t7 = *((char **)t6);
    *((unsigned char *)t7) = (unsigned char)2;
    xsi_driver_first_trans_fast(t1);
    xsi_set_current_line(112, ng0);
    t1 = (t0 + 9968);
    t2 = (t1 + 56U);
    t5 = *((char **)t2);
    t6 = (t5 + 56U);
    t7 = *((char **)t6);
    *((unsigned char *)t7) = (unsigned char)2;
    xsi_driver_first_trans_fast(t1);
    xsi_set_current_line(113, ng0);
    t1 = (t0 + 10032);
    t2 = (t1 + 56U);
    t5 = *((char **)t2);
    t6 = (t5 + 56U);
    t7 = *((char **)t6);
    *((unsigned char *)t7) = (unsigned char)2;
    xsi_driver_first_trans_fast(t1);
    goto LAB3;

LAB5:    xsi_set_current_line(115, ng0);
    t2 = (t0 + 3592U);
    t5 = *((char **)t2);
    t4 = *((unsigned char *)t5);
    t2 = (t0 + 3752U);
    t6 = *((char **)t2);
    t9 = *((unsigned char *)t6);
    t2 = (t0 + 3112U);
    t7 = *((char **)t2);
    t10 = *((unsigned char *)t7);
    t11 = ieee_p_2592010699_sub_1605435078_503743352(IEEE_P_2592010699, t9, t10);
    t12 = ieee_p_2592010699_sub_2545490612_503743352(IEEE_P_2592010699, t4, t11);
    t2 = (t0 + 4072U);
    t8 = *((char **)t2);
    t13 = *((unsigned char *)t8);
    t14 = ieee_p_2592010699_sub_1690584930_503743352(IEEE_P_2592010699, t13);
    t15 = ieee_p_2592010699_sub_1605435078_503743352(IEEE_P_2592010699, t12, t14);
    t2 = (t0 + 9840);
    t16 = (t2 + 56U);
    t17 = *((char **)t16);
    t18 = (t17 + 56U);
    t19 = *((char **)t18);
    *((unsigned char *)t19) = t15;
    xsi_driver_first_trans_fast(t2);
    xsi_set_current_line(116, ng0);
    t1 = (t0 + 3752U);
    t2 = *((char **)t1);
    t3 = *((unsigned char *)t2);
    t1 = (t0 + 3912U);
    t5 = *((char **)t1);
    t4 = *((unsigned char *)t5);
    t9 = ieee_p_2592010699_sub_2545490612_503743352(IEEE_P_2592010699, t3, t4);
    t1 = (t0 + 4072U);
    t6 = *((char **)t1);
    t10 = *((unsigned char *)t6);
    t11 = ieee_p_2592010699_sub_1690584930_503743352(IEEE_P_2592010699, t10);
    t12 = ieee_p_2592010699_sub_1605435078_503743352(IEEE_P_2592010699, t9, t11);
    t1 = (t0 + 9712);
    t7 = (t1 + 56U);
    t8 = *((char **)t7);
    t16 = (t8 + 56U);
    t17 = *((char **)t16);
    *((unsigned char *)t17) = t12;
    xsi_driver_first_trans_fast(t1);
    xsi_set_current_line(117, ng0);
    t1 = (t0 + 3432U);
    t2 = *((char **)t1);
    t3 = *((unsigned char *)t2);
    t1 = (t0 + 3912U);
    t5 = *((char **)t1);
    t4 = *((unsigned char *)t5);
    t9 = ieee_p_2592010699_sub_2545490612_503743352(IEEE_P_2592010699, t3, t4);
    t1 = (t0 + 4712U);
    t6 = *((char **)t1);
    t10 = *((unsigned char *)t6);
    t11 = ieee_p_2592010699_sub_1690584930_503743352(IEEE_P_2592010699, t10);
    t12 = ieee_p_2592010699_sub_1605435078_503743352(IEEE_P_2592010699, t9, t11);
    t1 = (t0 + 9776);
    t7 = (t1 + 56U);
    t8 = *((char **)t7);
    t16 = (t8 + 56U);
    t17 = *((char **)t16);
    *((unsigned char *)t17) = t12;
    xsi_driver_first_trans_fast(t1);
    xsi_set_current_line(119, ng0);
    t1 = (t0 + 3272U);
    t2 = *((char **)t1);
    t3 = *((unsigned char *)t2);
    t1 = (t0 + 1512U);
    t5 = *((char **)t1);
    t4 = *((unsigned char *)t5);
    t9 = ieee_p_2592010699_sub_1690584930_503743352(IEEE_P_2592010699, t4);
    t10 = ieee_p_2592010699_sub_1605435078_503743352(IEEE_P_2592010699, t3, t9);
    t1 = (t0 + 10032);
    t6 = (t1 + 56U);
    t7 = *((char **)t6);
    t8 = (t7 + 56U);
    t16 = *((char **)t8);
    *((unsigned char *)t16) = t10;
    xsi_driver_first_trans_fast(t1);
    xsi_set_current_line(120, ng0);
    t1 = (t0 + 3272U);
    t2 = *((char **)t1);
    t3 = *((unsigned char *)t2);
    t4 = ieee_p_2592010699_sub_1690584930_503743352(IEEE_P_2592010699, t3);
    t1 = (t0 + 1512U);
    t5 = *((char **)t1);
    t9 = *((unsigned char *)t5);
    t10 = ieee_p_2592010699_sub_1605435078_503743352(IEEE_P_2592010699, t4, t9);
    t1 = (t0 + 9968);
    t6 = (t1 + 56U);
    t7 = *((char **)t6);
    t8 = (t7 + 56U);
    t16 = *((char **)t8);
    *((unsigned char *)t16) = t10;
    xsi_driver_first_trans_fast(t1);
    xsi_set_current_line(122, ng0);
    t1 = (t0 + 1512U);
    t2 = *((char **)t1);
    t3 = *((unsigned char *)t2);
    t1 = (t0 + 9904);
    t5 = (t1 + 56U);
    t6 = *((char **)t5);
    t7 = (t6 + 56U);
    t8 = *((char **)t7);
    *((unsigned char *)t8) = t3;
    xsi_driver_first_trans_fast(t1);
    goto LAB3;

}
Пример #18
0
static void work_a_0286164271_3212880686_p_18(char *t0)
{
    char *t1;
    char *t2;
    unsigned char t3;
    char *t4;
    unsigned char t5;
    unsigned char t6;
    char *t7;
    unsigned char t8;
    unsigned char t9;
    char *t10;
    unsigned char t11;
    unsigned char t12;
    char *t13;
    unsigned char t14;
    char *t15;
    unsigned char t16;
    unsigned char t17;
    char *t18;
    unsigned char t19;
    unsigned char t20;
    unsigned char t21;
    char *t22;
    unsigned char t23;
    unsigned char t24;
    unsigned char t25;
    char *t26;
    char *t27;
    char *t28;
    char *t29;
    char *t30;

LAB0:    xsi_set_current_line(95, ng0);

LAB3:    t1 = (t0 + 6792U);
    t2 = *((char **)t1);
    t3 = *((unsigned char *)t2);
    t1 = (t0 + 8712U);
    t4 = *((char **)t1);
    t5 = *((unsigned char *)t4);
    t6 = ieee_p_2592010699_sub_1605435078_503743352(IEEE_P_2592010699, t3, t5);
    t1 = (t0 + 4232U);
    t7 = *((char **)t1);
    t8 = *((unsigned char *)t7);
    t9 = ieee_p_2592010699_sub_1605435078_503743352(IEEE_P_2592010699, t6, t8);
    t1 = (t0 + 4392U);
    t10 = *((char **)t1);
    t11 = *((unsigned char *)t10);
    t12 = ieee_p_2592010699_sub_1605435078_503743352(IEEE_P_2592010699, t9, t11);
    t1 = (t0 + 9192U);
    t13 = *((char **)t1);
    t14 = *((unsigned char *)t13);
    t1 = (t0 + 1832U);
    t15 = *((char **)t1);
    t16 = *((unsigned char *)t15);
    t17 = ieee_p_2592010699_sub_1605435078_503743352(IEEE_P_2592010699, t14, t16);
    t1 = (t0 + 6952U);
    t18 = *((char **)t1);
    t19 = *((unsigned char *)t18);
    t20 = ieee_p_2592010699_sub_1605435078_503743352(IEEE_P_2592010699, t17, t19);
    t21 = ieee_p_2592010699_sub_2545490612_503743352(IEEE_P_2592010699, t12, t20);
    t1 = (t0 + 8392U);
    t22 = *((char **)t1);
    t23 = *((unsigned char *)t22);
    t24 = ieee_p_2592010699_sub_2545490612_503743352(IEEE_P_2592010699, t21, t23);
    t25 = ieee_p_2592010699_sub_1690584930_503743352(IEEE_P_2592010699, t24);
    t1 = (t0 + 20320);
    t26 = (t1 + 56U);
    t27 = *((char **)t26);
    t28 = (t27 + 56U);
    t29 = *((char **)t28);
    *((unsigned char *)t29) = t25;
    xsi_driver_first_trans_fast(t1);

LAB2:    t30 = (t0 + 18992);
    *((int *)t30) = 1;

LAB1:    return;
LAB4:    goto LAB2;

}
static void work_a_2974374553_3212880686_p_9(char *t0)
{
    char *t1;
    char *t2;
    unsigned char t3;
    char *t4;
    unsigned char t5;
    unsigned char t6;
    char *t7;
    unsigned char t8;
    unsigned char t9;
    char *t10;
    unsigned char t11;
    char *t12;
    unsigned char t13;
    unsigned char t14;
    unsigned char t15;
    char *t16;
    unsigned char t17;
    unsigned char t18;
    char *t19;
    char *t20;
    char *t21;
    char *t22;
    char *t23;

LAB0:    xsi_set_current_line(61, ng0);

LAB3:    t1 = (t0 + 1352U);
    t2 = *((char **)t1);
    t3 = *((unsigned char *)t2);
    t1 = (t0 + 2792U);
    t4 = *((char **)t1);
    t5 = *((unsigned char *)t4);
    t6 = ieee_p_2592010699_sub_1605435078_503743352(IEEE_P_2592010699, t3, t5);
    t1 = (t0 + 2952U);
    t7 = *((char **)t1);
    t8 = *((unsigned char *)t7);
    t9 = ieee_p_2592010699_sub_1605435078_503743352(IEEE_P_2592010699, t6, t8);
    t1 = (t0 + 2152U);
    t10 = *((char **)t1);
    t11 = *((unsigned char *)t10);
    t1 = (t0 + 2952U);
    t12 = *((char **)t1);
    t13 = *((unsigned char *)t12);
    t14 = ieee_p_2592010699_sub_1605435078_503743352(IEEE_P_2592010699, t11, t13);
    t15 = ieee_p_2592010699_sub_2545490612_503743352(IEEE_P_2592010699, t9, t14);
    t1 = (t0 + 2312U);
    t16 = *((char **)t1);
    t17 = *((unsigned char *)t16);
    t18 = ieee_p_2592010699_sub_2545490612_503743352(IEEE_P_2592010699, t15, t17);
    t1 = (t0 + 10800);
    t19 = (t1 + 56U);
    t20 = *((char **)t19);
    t21 = (t20 + 56U);
    t22 = *((char **)t21);
    *((unsigned char *)t22) = t18;
    xsi_driver_first_trans_fast(t1);

LAB2:    t23 = (t0 + 10000);
    *((int *)t23) = 1;

LAB1:    return;
LAB4:    goto LAB2;

}
Пример #20
0
static void work_a_4179008108_3212880686_p_3(char *t0)
{
    int64 t1;
    char *t2;
    char *t3;
    int t4;
    unsigned int t5;
    unsigned int t6;
    unsigned int t7;
    unsigned char t8;
    char *t9;
    char *t10;
    int t11;
    unsigned int t12;
    unsigned int t13;
    unsigned int t14;
    unsigned char t15;
    char *t16;
    char *t17;
    unsigned char t18;
    unsigned char t19;
    unsigned char t20;
    char *t21;
    char *t22;
    char *t23;
    char *t24;
    char *t25;
    char *t26;

LAB0:
    xsi_set_current_line(72, ng0);

LAB3:
    t1 = (14 * 1000LL);
    t2 = (t0 + 1672U);
    t3 = *((char **)t2);
    t4 = (0 - 3);
    t5 = (t4 * -1);
    t6 = (1U * t5);
    t7 = (0 + t6);
    t2 = (t3 + t7);
    t8 = *((unsigned char *)t2);
    t9 = (t0 + 1832U);
    t10 = *((char **)t9);
    t11 = (0 - 3);
    t12 = (t11 * -1);
    t13 = (1U * t12);
    t14 = (0 + t13);
    t9 = (t10 + t14);
    t15 = *((unsigned char *)t9);
    t16 = (t0 + 1352U);
    t17 = *((char **)t16);
    t18 = *((unsigned char *)t17);
    t19 = ieee_p_2592010699_sub_1605435078_503743352(IEEE_P_2592010699, t15, t18);
    t20 = ieee_p_2592010699_sub_2545490612_503743352(IEEE_P_2592010699, t8, t19);
    t16 = (t0 + 4904);
    t21 = (t16 + 56U);
    t22 = *((char **)t21);
    t23 = (t22 + 56U);
    t24 = *((char **)t23);
    *((unsigned char *)t24) = t20;
    xsi_driver_first_trans_delta(t16, 2U, 1, t1);
    t25 = (t0 + 4904);
    xsi_driver_intertial_reject(t25, t1, t1);

LAB2:
    t26 = (t0 + 4600);
    *((int *)t26) = 1;

LAB1:
    return;
LAB4:
    goto LAB2;

}
static void work_a_2974374553_3212880686_p_14(char *t0)
{
    char *t1;
    char *t2;
    unsigned char t3;
    char *t4;
    unsigned char t5;
    unsigned char t6;
    char *t7;
    unsigned char t8;
    unsigned char t9;
    char *t10;
    unsigned char t11;
    unsigned char t12;
    char *t13;
    unsigned char t14;
    char *t15;
    unsigned char t16;
    unsigned char t17;
    char *t18;
    unsigned char t19;
    unsigned char t20;
    unsigned char t21;
    char *t22;
    unsigned char t23;
    char *t24;
    unsigned char t25;
    unsigned char t26;
    unsigned char t27;
    char *t28;
    unsigned char t29;
    unsigned char t30;
    char *t31;
    char *t32;
    char *t33;
    char *t34;
    char *t35;

LAB0:    xsi_set_current_line(67, ng0);

LAB3:    t1 = (t0 + 2152U);
    t2 = *((char **)t1);
    t3 = *((unsigned char *)t2);
    t1 = (t0 + 2952U);
    t4 = *((char **)t1);
    t5 = *((unsigned char *)t4);
    t6 = ieee_p_2592010699_sub_1605435078_503743352(IEEE_P_2592010699, t3, t5);
    t1 = (t0 + 3112U);
    t7 = *((char **)t1);
    t8 = *((unsigned char *)t7);
    t9 = ieee_p_2592010699_sub_1605435078_503743352(IEEE_P_2592010699, t6, t8);
    t1 = (t0 + 3272U);
    t10 = *((char **)t1);
    t11 = *((unsigned char *)t10);
    t12 = ieee_p_2592010699_sub_1605435078_503743352(IEEE_P_2592010699, t9, t11);
    t1 = (t0 + 2312U);
    t13 = *((char **)t1);
    t14 = *((unsigned char *)t13);
    t1 = (t0 + 3112U);
    t15 = *((char **)t1);
    t16 = *((unsigned char *)t15);
    t17 = ieee_p_2592010699_sub_1605435078_503743352(IEEE_P_2592010699, t14, t16);
    t1 = (t0 + 3272U);
    t18 = *((char **)t1);
    t19 = *((unsigned char *)t18);
    t20 = ieee_p_2592010699_sub_1605435078_503743352(IEEE_P_2592010699, t17, t19);
    t21 = ieee_p_2592010699_sub_2545490612_503743352(IEEE_P_2592010699, t12, t20);
    t1 = (t0 + 2472U);
    t22 = *((char **)t1);
    t23 = *((unsigned char *)t22);
    t1 = (t0 + 3272U);
    t24 = *((char **)t1);
    t25 = *((unsigned char *)t24);
    t26 = ieee_p_2592010699_sub_1605435078_503743352(IEEE_P_2592010699, t23, t25);
    t27 = ieee_p_2592010699_sub_2545490612_503743352(IEEE_P_2592010699, t21, t26);
    t1 = (t0 + 2632U);
    t28 = *((char **)t1);
    t29 = *((unsigned char *)t28);
    t30 = ieee_p_2592010699_sub_2545490612_503743352(IEEE_P_2592010699, t27, t29);
    t1 = (t0 + 11120);
    t31 = (t1 + 56U);
    t32 = *((char **)t31);
    t33 = (t32 + 56U);
    t34 = *((char **)t33);
    *((unsigned char *)t34) = t30;
    xsi_driver_first_trans_fast_port(t1);

LAB2:    t35 = (t0 + 10080);
    *((int *)t35) = 1;

LAB1:    return;
LAB4:    goto LAB2;

}
Пример #22
0
static void work_a_4179008108_3212880686_p_4(char *t0)
{
    int64 t1;
    char *t2;
    char *t3;
    int t4;
    unsigned int t5;
    unsigned int t6;
    unsigned int t7;
    unsigned char t8;
    char *t9;
    char *t10;
    int t11;
    unsigned int t12;
    unsigned int t13;
    unsigned int t14;
    unsigned char t15;
    char *t16;
    char *t17;
    int t18;
    unsigned int t19;
    unsigned int t20;
    unsigned int t21;
    unsigned char t22;
    unsigned char t23;
    unsigned char t24;
    char *t25;
    char *t26;
    int t27;
    unsigned int t28;
    unsigned int t29;
    unsigned int t30;
    unsigned char t31;
    char *t32;
    char *t33;
    int t34;
    unsigned int t35;
    unsigned int t36;
    unsigned int t37;
    unsigned char t38;
    unsigned char t39;
    char *t40;
    char *t41;
    unsigned char t42;
    unsigned char t43;
    unsigned char t44;
    char *t45;
    char *t46;
    char *t47;
    char *t48;
    char *t49;
    char *t50;

LAB0:
    xsi_set_current_line(73, ng0);

LAB3:
    t1 = (14 * 1000LL);
    t2 = (t0 + 1672U);
    t3 = *((char **)t2);
    t4 = (1 - 3);
    t5 = (t4 * -1);
    t6 = (1U * t5);
    t7 = (0 + t6);
    t2 = (t3 + t7);
    t8 = *((unsigned char *)t2);
    t9 = (t0 + 1832U);
    t10 = *((char **)t9);
    t11 = (1 - 3);
    t12 = (t11 * -1);
    t13 = (1U * t12);
    t14 = (0 + t13);
    t9 = (t10 + t14);
    t15 = *((unsigned char *)t9);
    t16 = (t0 + 1672U);
    t17 = *((char **)t16);
    t18 = (0 - 3);
    t19 = (t18 * -1);
    t20 = (1U * t19);
    t21 = (0 + t20);
    t16 = (t17 + t21);
    t22 = *((unsigned char *)t16);
    t23 = ieee_p_2592010699_sub_1605435078_503743352(IEEE_P_2592010699, t15, t22);
    t24 = ieee_p_2592010699_sub_2545490612_503743352(IEEE_P_2592010699, t8, t23);
    t25 = (t0 + 1832U);
    t26 = *((char **)t25);
    t27 = (1 - 3);
    t28 = (t27 * -1);
    t29 = (1U * t28);
    t30 = (0 + t29);
    t25 = (t26 + t30);
    t31 = *((unsigned char *)t25);
    t32 = (t0 + 1832U);
    t33 = *((char **)t32);
    t34 = (0 - 3);
    t35 = (t34 * -1);
    t36 = (1U * t35);
    t37 = (0 + t36);
    t32 = (t33 + t37);
    t38 = *((unsigned char *)t32);
    t39 = ieee_p_2592010699_sub_1605435078_503743352(IEEE_P_2592010699, t31, t38);
    t40 = (t0 + 1352U);
    t41 = *((char **)t40);
    t42 = *((unsigned char *)t41);
    t43 = ieee_p_2592010699_sub_1605435078_503743352(IEEE_P_2592010699, t39, t42);
    t44 = ieee_p_2592010699_sub_2545490612_503743352(IEEE_P_2592010699, t24, t43);
    t40 = (t0 + 4968);
    t45 = (t40 + 56U);
    t46 = *((char **)t45);
    t47 = (t46 + 56U);
    t48 = *((char **)t47);
    *((unsigned char *)t48) = t44;
    xsi_driver_first_trans_delta(t40, 1U, 1, t1);
    t49 = (t0 + 4968);
    xsi_driver_intertial_reject(t49, t1, t1);

LAB2:
    t50 = (t0 + 4616);
    *((int *)t50) = 1;

LAB1:
    return;
LAB4:
    goto LAB2;

}
Пример #23
0
static void work_a_1208337864_3708392848_p_0(char *t0)
{
    char *t1;
    char *t2;
    int t3;
    unsigned int t4;
    unsigned int t5;
    unsigned int t6;
    unsigned char t7;
    char *t8;
    char *t9;
    unsigned char t10;
    unsigned char t11;
    char *t12;
    int t13;
    unsigned int t14;
    unsigned int t15;
    unsigned int t16;
    unsigned char t17;
    char *t18;
    char *t19;
    unsigned char t20;
    unsigned char t21;
    unsigned char t22;
    char *t23;
    char *t24;
    char *t25;
    char *t26;
    char *t27;

LAB0:    xsi_set_current_line(37, ng0);

LAB3:    t1 = (t0 + 2952U);
    t2 = *((char **)t1);
    t3 = (0 - 1);
    t4 = (t3 * -1);
    t5 = (1U * t4);
    t6 = (0 + t5);
    t1 = (t2 + t6);
    t7 = *((unsigned char *)t1);
    t8 = (t0 + 1352U);
    t9 = *((char **)t8);
    t10 = *((unsigned char *)t9);
    t11 = ieee_p_2592010699_sub_1605435078_503743352(IEEE_P_2592010699, t7, t10);
    t8 = (t0 + 2952U);
    t12 = *((char **)t8);
    t13 = (1 - 1);
    t14 = (t13 * -1);
    t15 = (1U * t14);
    t16 = (0 + t15);
    t8 = (t12 + t16);
    t17 = *((unsigned char *)t8);
    t18 = (t0 + 1352U);
    t19 = *((char **)t18);
    t20 = *((unsigned char *)t19);
    t21 = ieee_p_2592010699_sub_1605435078_503743352(IEEE_P_2592010699, t17, t20);
    t22 = ieee_p_2592010699_sub_2545490612_503743352(IEEE_P_2592010699, t11, t21);
    t18 = (t0 + 4512);
    t23 = (t18 + 56U);
    t24 = *((char **)t23);
    t25 = (t24 + 56U);
    t26 = *((char **)t25);
    *((unsigned char *)t26) = t22;
    xsi_driver_first_trans_fast_port(t18);

LAB2:    t27 = (t0 + 4432);
    *((int *)t27) = 1;

LAB1:    return;
LAB4:    goto LAB2;

}
Пример #24
0
static void work_a_4179008108_3212880686_p_5(char *t0)
{
    int64 t1;
    char *t2;
    char *t3;
    int t4;
    unsigned int t5;
    unsigned int t6;
    unsigned int t7;
    unsigned char t8;
    char *t9;
    char *t10;
    int t11;
    unsigned int t12;
    unsigned int t13;
    unsigned int t14;
    unsigned char t15;
    char *t16;
    char *t17;
    int t18;
    unsigned int t19;
    unsigned int t20;
    unsigned int t21;
    unsigned char t22;
    unsigned char t23;
    unsigned char t24;
    char *t25;
    char *t26;
    int t27;
    unsigned int t28;
    unsigned int t29;
    unsigned int t30;
    unsigned char t31;
    char *t32;
    char *t33;
    int t34;
    unsigned int t35;
    unsigned int t36;
    unsigned int t37;
    unsigned char t38;
    unsigned char t39;
    char *t40;
    char *t41;
    int t42;
    unsigned int t43;
    unsigned int t44;
    unsigned int t45;
    unsigned char t46;
    unsigned char t47;
    unsigned char t48;
    char *t49;
    char *t50;
    int t51;
    unsigned int t52;
    unsigned int t53;
    unsigned int t54;
    unsigned char t55;
    char *t56;
    char *t57;
    int t58;
    unsigned int t59;
    unsigned int t60;
    unsigned int t61;
    unsigned char t62;
    unsigned char t63;
    char *t64;
    char *t65;
    int t66;
    unsigned int t67;
    unsigned int t68;
    unsigned int t69;
    unsigned char t70;
    unsigned char t71;
    char *t72;
    char *t73;
    unsigned char t74;
    unsigned char t75;
    unsigned char t76;
    char *t77;
    char *t78;
    char *t79;
    char *t80;
    char *t81;
    char *t82;

LAB0:
    xsi_set_current_line(74, ng0);

LAB3:
    t1 = (14 * 1000LL);
    t2 = (t0 + 1672U);
    t3 = *((char **)t2);
    t4 = (2 - 3);
    t5 = (t4 * -1);
    t6 = (1U * t5);
    t7 = (0 + t6);
    t2 = (t3 + t7);
    t8 = *((unsigned char *)t2);
    t9 = (t0 + 1832U);
    t10 = *((char **)t9);
    t11 = (2 - 3);
    t12 = (t11 * -1);
    t13 = (1U * t12);
    t14 = (0 + t13);
    t9 = (t10 + t14);
    t15 = *((unsigned char *)t9);
    t16 = (t0 + 1672U);
    t17 = *((char **)t16);
    t18 = (1 - 3);
    t19 = (t18 * -1);
    t20 = (1U * t19);
    t21 = (0 + t20);
    t16 = (t17 + t21);
    t22 = *((unsigned char *)t16);
    t23 = ieee_p_2592010699_sub_1605435078_503743352(IEEE_P_2592010699, t15, t22);
    t24 = ieee_p_2592010699_sub_2545490612_503743352(IEEE_P_2592010699, t8, t23);
    t25 = (t0 + 1832U);
    t26 = *((char **)t25);
    t27 = (2 - 3);
    t28 = (t27 * -1);
    t29 = (1U * t28);
    t30 = (0 + t29);
    t25 = (t26 + t30);
    t31 = *((unsigned char *)t25);
    t32 = (t0 + 1832U);
    t33 = *((char **)t32);
    t34 = (1 - 3);
    t35 = (t34 * -1);
    t36 = (1U * t35);
    t37 = (0 + t36);
    t32 = (t33 + t37);
    t38 = *((unsigned char *)t32);
    t39 = ieee_p_2592010699_sub_1605435078_503743352(IEEE_P_2592010699, t31, t38);
    t40 = (t0 + 1672U);
    t41 = *((char **)t40);
    t42 = (0 - 3);
    t43 = (t42 * -1);
    t44 = (1U * t43);
    t45 = (0 + t44);
    t40 = (t41 + t45);
    t46 = *((unsigned char *)t40);
    t47 = ieee_p_2592010699_sub_1605435078_503743352(IEEE_P_2592010699, t39, t46);
    t48 = ieee_p_2592010699_sub_2545490612_503743352(IEEE_P_2592010699, t24, t47);
    t49 = (t0 + 1832U);
    t50 = *((char **)t49);
    t51 = (2 - 3);
    t52 = (t51 * -1);
    t53 = (1U * t52);
    t54 = (0 + t53);
    t49 = (t50 + t54);
    t55 = *((unsigned char *)t49);
    t56 = (t0 + 1832U);
    t57 = *((char **)t56);
    t58 = (1 - 3);
    t59 = (t58 * -1);
    t60 = (1U * t59);
    t61 = (0 + t60);
    t56 = (t57 + t61);
    t62 = *((unsigned char *)t56);
    t63 = ieee_p_2592010699_sub_1605435078_503743352(IEEE_P_2592010699, t55, t62);
    t64 = (t0 + 1832U);
    t65 = *((char **)t64);
    t66 = (0 - 3);
    t67 = (t66 * -1);
    t68 = (1U * t67);
    t69 = (0 + t68);
    t64 = (t65 + t69);
    t70 = *((unsigned char *)t64);
    t71 = ieee_p_2592010699_sub_1605435078_503743352(IEEE_P_2592010699, t63, t70);
    t72 = (t0 + 1352U);
    t73 = *((char **)t72);
    t74 = *((unsigned char *)t73);
    t75 = ieee_p_2592010699_sub_1605435078_503743352(IEEE_P_2592010699, t71, t74);
    t76 = ieee_p_2592010699_sub_2545490612_503743352(IEEE_P_2592010699, t48, t75);
    t72 = (t0 + 5032);
    t77 = (t72 + 56U);
    t78 = *((char **)t77);
    t79 = (t78 + 56U);
    t80 = *((char **)t79);
    *((unsigned char *)t80) = t76;
    xsi_driver_first_trans_delta(t72, 0U, 1, t1);
    t81 = (t0 + 5032);
    xsi_driver_intertial_reject(t81, t1, t1);

LAB2:
    t82 = (t0 + 4632);
    *((int *)t82) = 1;

LAB1:
    return;
LAB4:
    goto LAB2;

}
Пример #25
0
static void unisim_a_2077515722_3691801974_p_0(char *t0)
{
    char *t1;
    char *t2;
    unsigned char t3;
    unsigned char t4;
    char *t5;
    unsigned char t6;
    unsigned char t7;
    unsigned char t8;
    char *t9;
    unsigned char t10;
    unsigned char t11;
    char *t12;
    unsigned char t13;
    unsigned char t14;
    char *t15;
    unsigned char t16;
    unsigned char t17;
    char *t18;
    char *t19;
    char *t20;
    char *t21;
    char *t22;

LAB0:
LAB3:    t1 = (t0 + 684U);
    t2 = *((char **)t1);
    t3 = *((unsigned char *)t2);
    t4 = ieee_p_2592010699_sub_1690584930_503743352(IEEE_P_2592010699, t3);
    t1 = (t0 + 776U);
    t5 = *((char **)t1);
    t6 = *((unsigned char *)t5);
    t7 = ieee_p_2592010699_sub_1690584930_503743352(IEEE_P_2592010699, t6);
    t8 = ieee_p_2592010699_sub_1605435078_503743352(IEEE_P_2592010699, t4, t7);
    t1 = (t0 + 868U);
    t9 = *((char **)t1);
    t10 = *((unsigned char *)t9);
    t11 = ieee_p_2592010699_sub_1605435078_503743352(IEEE_P_2592010699, t8, t10);
    t1 = (t0 + 960U);
    t12 = *((char **)t1);
    t13 = *((unsigned char *)t12);
    t14 = ieee_p_2592010699_sub_1605435078_503743352(IEEE_P_2592010699, t11, t13);
    t1 = (t0 + 1052U);
    t15 = *((char **)t1);
    t16 = *((unsigned char *)t15);
    t17 = ieee_p_2592010699_sub_1605435078_503743352(IEEE_P_2592010699, t14, t16);
    t1 = (t0 + 1924);
    t18 = (t1 + 32U);
    t19 = *((char **)t18);
    t20 = (t19 + 32U);
    t21 = *((char **)t20);
    *((unsigned char *)t21) = t17;
    xsi_driver_first_trans_fast_port(t1);

LAB2:    t22 = (t0 + 1880);
    *((int *)t22) = 1;

LAB1:    return;
LAB4:    goto LAB2;

}
Пример #26
0
static void work_a_3565583652_3212880686_p_0(char *t0)
{
    char *t1;
    char *t2;
    unsigned char t3;
    unsigned char t4;
    char *t5;
    char *t6;
    char *t7;
    char *t8;
    char *t9;
    char *t10;
    unsigned char t11;
    unsigned char t12;
    char *t13;
    unsigned char t14;
    char *t15;
    unsigned char t16;
    unsigned char t17;
    char *t18;
    unsigned char t19;
    unsigned char t20;
    char *t21;
    unsigned char t22;
    unsigned char t23;
    char *t24;
    int t25;
    unsigned int t26;
    unsigned int t27;
    unsigned int t28;
    unsigned char t29;
    unsigned char t30;
    char *t31;
    char *t32;
    int t33;
    unsigned int t34;
    unsigned int t35;
    unsigned int t36;
    unsigned char t37;
    unsigned char t38;
    unsigned char t39;
    char *t40;
    char *t41;
    char *t42;
    char *t43;
    char *t44;
    char *t45;

LAB0:    xsi_set_current_line(78, ng0);
    t1 = (t0 + 4072U);
    t2 = *((char **)t1);
    t3 = *((unsigned char *)t2);
    t4 = (t3 == (unsigned char)0);
    if (t4 != 0)
        goto LAB3;

LAB4:
LAB5:    t9 = (t0 + 1352U);
    t10 = *((char **)t9);
    t11 = *((unsigned char *)t10);
    t12 = ieee_p_2592010699_sub_1690584930_503743352(IEEE_P_2592010699, t11);
    t9 = (t0 + 2792U);
    t13 = *((char **)t9);
    t14 = *((unsigned char *)t13);
    t9 = (t0 + 3272U);
    t15 = *((char **)t9);
    t16 = *((unsigned char *)t15);
    t17 = ieee_p_2592010699_sub_1605435078_503743352(IEEE_P_2592010699, t14, t16);
    t9 = (t0 + 1832U);
    t18 = *((char **)t9);
    t19 = *((unsigned char *)t18);
    t20 = ieee_p_2592010699_sub_1605435078_503743352(IEEE_P_2592010699, t17, t19);
    t9 = (t0 + 2312U);
    t21 = *((char **)t9);
    t22 = *((unsigned char *)t21);
    t23 = ieee_p_2592010699_sub_1605435078_503743352(IEEE_P_2592010699, t20, t22);
    t9 = (t0 + 1672U);
    t24 = *((char **)t9);
    t25 = (0 - 0);
    t26 = (t25 * -1);
    t27 = (1U * t26);
    t28 = (0 + t27);
    t9 = (t24 + t28);
    t29 = *((unsigned char *)t9);
    t30 = ieee_p_2592010699_sub_1605435078_503743352(IEEE_P_2592010699, t23, t29);
    t31 = (t0 + 2152U);
    t32 = *((char **)t31);
    t33 = (0 - 0);
    t34 = (t33 * -1);
    t35 = (1U * t34);
    t36 = (0 + t35);
    t31 = (t32 + t36);
    t37 = *((unsigned char *)t31);
    t38 = ieee_p_2592010699_sub_1605435078_503743352(IEEE_P_2592010699, t30, t37);
    t39 = ieee_p_2592010699_sub_2545490612_503743352(IEEE_P_2592010699, t12, t38);
    t40 = (t0 + 6952);
    t41 = (t40 + 56U);
    t42 = *((char **)t41);
    t43 = (t42 + 56U);
    t44 = *((char **)t43);
    *((unsigned char *)t44) = t39;
    xsi_driver_first_trans_fast_port(t40);

LAB2:    t45 = (t0 + 6792);
    *((int *)t45) = 1;

LAB1:    return;
LAB3:    t1 = (t0 + 6952);
    t5 = (t1 + 56U);
    t6 = *((char **)t5);
    t7 = (t6 + 56U);
    t8 = *((char **)t7);
    *((unsigned char *)t8) = (unsigned char)3;
    xsi_driver_first_trans_fast_port(t1);
    goto LAB2;

LAB6:    goto LAB2;

}
static void simprim_a_4130118134_1564065396_p_17(char *t0)
{
    char t17[16];
    char t25[16];
    char t50[16];
    char t59[288];
    char *t1;
    char *t2;
    unsigned char t3;
    char *t4;
    unsigned char t5;
    unsigned char t6;
    char *t7;
    int64 t8;
    int64 t9;
    int64 t10;
    int64 t11;
    int64 t12;
    char *t13;
    char *t14;
    char *t15;
    char *t16;
    char *t18;
    char *t19;
    int t20;
    unsigned int t21;
    char *t22;
    char *t23;
    char *t24;
    char *t26;
    char *t27;
    int t28;
    char *t29;
    char *t30;
    char *t31;
    char *t32;
    char *t33;
    int64 t34;
    char *t35;
    unsigned char t36;
    char *t37;
    unsigned char t38;
    unsigned char t39;
    char *t40;
    unsigned char t41;
    unsigned char t42;
    unsigned char t43;
    unsigned char t44;
    unsigned char t45;
    char *t46;
    unsigned char t47;
    unsigned char t48;
    char *t49;
    char *t51;
    char *t52;
    int t53;
    char *t54;
    unsigned char t55;
    char *t56;
    unsigned char t57;
    unsigned char t58;
    unsigned int t60;
    unsigned int t61;
    unsigned int t62;
    unsigned char t63;
    unsigned char t64;
    unsigned char t65;
    char *t66;
    unsigned char t67;
    unsigned char t68;
    char *t69;
    unsigned char t70;
    unsigned char t71;
    int t72;
    unsigned int t73;
    unsigned int t74;
    char *t75;
    char *t76;
    char *t77;
    char *t78;
    char *t79;
    unsigned char t80;
    char *t81;
    char *t82;
    unsigned char t83;
    unsigned char t84;
    char *t85;
    unsigned char t86;
    unsigned char t87;
    int t88;
    unsigned int t89;
    unsigned int t90;
    char *t91;
    char *t92;
    char *t93;
    char *t94;
    char *t95;
    int t96;
    unsigned int t97;
    unsigned int t98;
    char *t99;
    char *t100;
    char *t101;
    char *t102;
    char *t103;
    char *t104;
    char *t105;
    char *t106;
    int t107;
    unsigned int t108;
    char *t109;
    char *t110;
    unsigned char t111;
    char *t112;
    unsigned char t113;

LAB0:    t1 = (t0 + 12216U);
    t2 = *((char **)t1);
    t3 = *((unsigned char *)t2);
    if (t3 != 0)
        goto LAB2;

LAB4:
LAB3:    t1 = (t0 + 5376U);
    t2 = *((char **)t1);
    t3 = *((unsigned char *)t2);
    if (t3 != 0)
        goto LAB40;

LAB42:
LAB41:    t1 = (t0 + 11136U);
    t2 = *((char **)t1);
    t3 = *((unsigned char *)t2);
    t1 = (t0 + 10296U);
    t4 = *((char **)t1);
    t5 = *((unsigned char *)t4);
    t6 = ieee_p_2592010699_sub_2545490612_503743352(IEEE_P_2592010699, t3, t5);
    t1 = (t0 + 11016U);
    t7 = *((char **)t1);
    t36 = *((unsigned char *)t7);
    t38 = ieee_p_2592010699_sub_2545490612_503743352(IEEE_P_2592010699, t6, t36);
    t1 = (t0 + 11256U);
    t13 = *((char **)t1);
    t39 = *((unsigned char *)t13);
    t41 = ieee_p_2592010699_sub_2545490612_503743352(IEEE_P_2592010699, t38, t39);
    t1 = (t0 + 11376U);
    t14 = *((char **)t1);
    t42 = *((unsigned char *)t14);
    t43 = ieee_p_2592010699_sub_2545490612_503743352(IEEE_P_2592010699, t41, t42);
    t1 = (t0 + 10416U);
    t15 = *((char **)t1);
    t44 = *((unsigned char *)t15);
    t45 = ieee_p_2592010699_sub_2545490612_503743352(IEEE_P_2592010699, t43, t44);
    t1 = (t0 + 10176U);
    t16 = *((char **)t1);
    t47 = *((unsigned char *)t16);
    t48 = ieee_p_2592010699_sub_2545490612_503743352(IEEE_P_2592010699, t45, t47);
    t1 = (t0 + 11496U);
    t18 = *((char **)t1);
    t1 = (t18 + 0);
    *((unsigned char *)t1) = t48;
    t1 = (t0 + 3000U);
    t2 = *((char **)t1);
    t5 = *((unsigned char *)t2);
    t6 = (t5 == (unsigned char)3);
    if (t6 == 1)
        goto LAB64;

LAB65:    t1 = (t0 + 3160U);
    t4 = *((char **)t1);
    t36 = *((unsigned char *)t4);
    t38 = (t36 == (unsigned char)3);
    t3 = t38;

LAB66:    if (t3 != 0)
        goto LAB61;

LAB63:    t1 = (t0 + 5080U);
    t2 = *((char **)t1);
    t3 = *((unsigned char *)t2);
    t1 = (t0 + 12336U);
    t4 = *((char **)t1);
    t1 = (t4 + 0);
    *((unsigned char *)t1) = t3;
    t1 = (t0 + 4920U);
    t2 = *((char **)t1);
    t3 = *((unsigned char *)t2);
    t1 = (t0 + 12456U);
    t4 = *((char **)t1);
    t1 = (t4 + 0);
    *((unsigned char *)t1) = t3;

LAB62:    t1 = (t0 + 18760);
    t2 = (t0 + 11736U);
    t4 = *((char **)t2);
    t2 = (t4 + 0);
    t7 = (t0 + 11616U);
    t13 = *((char **)t7);
    t7 = (t0 + 30152U);
    t14 = ((SIMPRIM_P_4208868169) + 1168U);
    t15 = *((char **)t14);
    memcpy(t59, t15, 288U);
    t14 = ((SIMPRIM_P_4208868169) + 8120U);
    t16 = xsi_get_transient_memory(6U);
    memset(t16, 0, 6U);
    t18 = t16;
    t19 = (t0 + 4600U);
    t22 = *((char **)t19);
    t3 = *((unsigned char *)t22);
    *((unsigned char *)t18) = t3;
    t18 = (t18 + 1U);
    t19 = (t0 + 4760U);
    t23 = *((char **)t19);
    t5 = *((unsigned char *)t23);
    *((unsigned char *)t18) = t5;
    t18 = (t18 + 1U);
    t19 = (t0 + 4440U);
    t24 = *((char **)t19);
    t6 = *((unsigned char *)t24);
    *((unsigned char *)t18) = t6;
    t18 = (t18 + 1U);
    t19 = (t0 + 12336U);
    t26 = *((char **)t19);
    t36 = *((unsigned char *)t26);
    *((unsigned char *)t18) = t36;
    t18 = (t18 + 1U);
    t19 = (t0 + 12456U);
    t27 = *((char **)t19);
    t38 = *((unsigned char *)t27);
    *((unsigned char *)t18) = t38;
    t18 = (t18 + 1U);
    t19 = (t0 + 11736U);
    t29 = *((char **)t19);
    t39 = *((unsigned char *)t29);
    *((unsigned char *)t18) = t39;
    t19 = (t17 + 0U);
    t30 = (t19 + 0U);
    *((int *)t30) = 0;
    t30 = (t19 + 4U);
    *((int *)t30) = 5;
    t30 = (t19 + 8U);
    *((int *)t30) = 1;
    t20 = (5 - 0);
    t21 = (t20 * 1);
    t21 = (t21 + 1);
    t30 = (t19 + 12U);
    *((unsigned int *)t30) = t21;
    ieee_vital_primitives_vitalstatetable(IEEE_P_1367372525, t1, t2, t13, t7, t59, t14, t16, t17);
    t1 = (t0 + 11496U);
    t2 = *((char **)t1);
    t3 = *((unsigned char *)t2);
    t1 = (t0 + 11736U);
    t4 = *((char **)t1);
    t5 = *((unsigned char *)t4);
    t6 = ieee_p_2592010699_sub_2507238156_503743352(IEEE_P_2592010699, t3, t5);
    t1 = (t0 + 11736U);
    t7 = *((char **)t1);
    t1 = (t7 + 0);
    *((unsigned char *)t1) = t6;
    t1 = (t0 + 18760);
    t2 = (t0 + 1520U);
    t4 = (t0 + 20856);
    t7 = (t0 + 11856U);
    t13 = *((char **)t7);
    t7 = (t0 + 32084);
    t15 = (t17 + 0U);
    t16 = (t15 + 0U);
    *((int *)t16) = 1;
    t16 = (t15 + 4U);
    *((int *)t16) = 1;
    t16 = (t15 + 8U);
    *((int *)t16) = 1;
    t20 = (1 - 1);
    t21 = (t20 * 1);
    t21 = (t21 + 1);
    t16 = (t15 + 12U);
    *((unsigned int *)t16) = t21;
    t16 = (t0 + 11736U);
    t18 = *((char **)t16);
    t3 = *((unsigned char *)t18);
    t16 = xsi_get_transient_memory(160U);
    memset(t16, 0, 160U);
    t19 = t16;
    t28 = (0 - 0);
    t21 = (t28 * 1);
    t60 = (32U * t21);
    t22 = (t19 + t60);
    t23 = t22;
    t24 = (t0 + 4560U);
    t8 = xsi_signal_get_last_event(t24);
    *((int64 *)t23) = t8;
    t26 = (t22 + 8U);
    t27 = (t0 + 6456U);
    t29 = *((char **)t27);
    memcpy(t26, t29, 16U);
    t27 = (t22 + 24U);
    t30 = (t0 + 4440U);
    t31 = *((char **)t30);
    t39 = *((unsigned char *)t31);
    t41 = (t39 != (unsigned char)2);
    if (t41 == 1)
        goto LAB79;

LAB80:    t38 = (unsigned char)0;

LAB81:    if (t38 == 1)
        goto LAB76;

LAB77:    t36 = (unsigned char)0;

LAB78:    if (t36 == 1)
        goto LAB73;

LAB74:    t6 = (unsigned char)0;

LAB75:    if (t6 == 1)
        goto LAB70;

LAB71:    t5 = (unsigned char)0;

LAB72:    *((unsigned char *)t27) = t5;
    t53 = (1 - 0);
    t61 = (t53 * 1);
    t62 = (32U * t61);
    t30 = (t19 + t62);
    t40 = t30;
    t46 = (t0 + 5040U);
    t9 = xsi_signal_get_last_event(t46);
    *((int64 *)t40) = t9;
    t49 = (t30 + 8U);
    t51 = (t0 + 6696U);
    t52 = *((char **)t51);
    memcpy(t49, t52, 16U);
    t51 = (t30 + 24U);
    t54 = (t0 + 4920U);
    t56 = *((char **)t54);
    t64 = *((unsigned char *)t56);
    t65 = (t64 != (unsigned char)3);
    if (t65 == 1)
        goto LAB85;

LAB86:    t63 = (unsigned char)0;

LAB87:    if (t63 == 1)
        goto LAB82;

LAB83:    t58 = (unsigned char)0;

LAB84:    *((unsigned char *)t51) = t58;
    t72 = (2 - 0);
    t73 = (t72 * 1);
    t74 = (32U * t73);
    t54 = (t19 + t74);
    t75 = t54;
    t76 = (t0 + 4880U);
    t10 = xsi_signal_get_last_event(t76);
    *((int64 *)t75) = t10;
    t77 = (t54 + 8U);
    t78 = (t0 + 6576U);
    t79 = *((char **)t78);
    memcpy(t77, t79, 16U);
    t78 = (t54 + 24U);
    t81 = (t0 + 3000U);
    t82 = *((char **)t81);
    t83 = *((unsigned char *)t82);
    t84 = (t83 == (unsigned char)2);
    if (t84 == 1)
        goto LAB88;

LAB89:    t80 = (unsigned char)0;

LAB90:    *((unsigned char *)t78) = t80;
    t88 = (3 - 0);
    t89 = (t88 * 1);
    t90 = (32U * t89);
    t81 = (t19 + t90);
    t91 = t81;
    t92 = (t0 + 2960U);
    t11 = xsi_signal_get_last_event(t92);
    *((int64 *)t91) = t11;
    t93 = (t81 + 8U);
    t94 = (t0 + 9696U);
    t95 = *((char **)t94);
    memcpy(t93, t95, 16U);
    t94 = (t81 + 24U);
    *((unsigned char *)t94) = (unsigned char)1;
    t96 = (4 - 0);
    t97 = (t96 * 1);
    t98 = (32U * t97);
    t99 = (t19 + t98);
    t100 = t99;
    t101 = (t0 + 3120U);
    t12 = xsi_signal_get_last_event(t101);
    *((int64 *)t100) = t12;
    t102 = (t99 + 8U);
    t103 = (t0 + 9696U);
    t104 = *((char **)t103);
    memcpy(t102, t104, 16U);
    t103 = (t99 + 24U);
    *((unsigned char *)t103) = (unsigned char)1;
    t105 = (t25 + 0U);
    t106 = (t105 + 0U);
    *((int *)t106) = 0;
    t106 = (t105 + 4U);
    *((int *)t106) = 4;
    t106 = (t105 + 8U);
    *((int *)t106) = 1;
    t107 = (4 - 0);
    t108 = (t107 * 1);
    t108 = (t108 + 1);
    t106 = (t105 + 12U);
    *((unsigned int *)t106) = t108;
    t106 = ((IEEE_P_2717149903) + 1288U);
    t109 = *((char **)t106);
    memcpy(t50, t109, 16U);
    t106 = (t0 + 5496U);
    t110 = *((char **)t106);
    t111 = *((unsigned char *)t110);
    t106 = (t0 + 5616U);
    t112 = *((char **)t106);
    t113 = *((unsigned char *)t112);
    ieee_p_2717149903_sub_2486506143_2101202839(IEEE_P_2717149903, t1, t2, 0U, 0U, t4, t13, t7, t17, t3, t16, t25, t50, (unsigned char)3, t111, t113, (unsigned char)1, (unsigned char)0, (unsigned char)0, (unsigned char)0);
    t1 = (t0 + 19544);
    *((int *)t1) = 1;

LAB1:    return;
LAB2:    t1 = (t0 + 5736U);
    t4 = *((char **)t1);
    t5 = *((unsigned char *)t4);
    t6 = (t5 == (unsigned char)1);
    if (t6 != 0)
        goto LAB5;

LAB7:    t1 = (t0 + 12336U);
    t2 = *((char **)t1);
    t1 = (t2 + 0);
    *((unsigned char *)t1) = (unsigned char)2;
    t1 = (t0 + 12456U);
    t2 = *((char **)t1);
    t1 = (t2 + 0);
    *((unsigned char *)t1) = (unsigned char)3;

LAB6:    t1 = (t0 + 7776U);
    t2 = *((char **)t1);
    t8 = *((int64 *)t2);
    t9 = (0 * 1LL);
    t5 = (t8 == t9);
    if (t5 == 1)
        goto LAB11;

LAB12:    t3 = (unsigned char)0;

LAB13:    if (t3 != 0)
        goto LAB8;

LAB10:    t1 = (t0 + 7776U);
    t2 = *((char **)t1);
    t8 = *((int64 *)t2);
    t9 = (0 * 1LL);
    t5 = (t8 != t9);
    if (t5 == 1)
        goto LAB16;

LAB17:    t3 = (unsigned char)0;

LAB18:    if (t3 != 0)
        goto LAB14;

LAB15:    t1 = (t0 + 7776U);
    t2 = *((char **)t1);
    t8 = *((int64 *)t2);
    t9 = (0 * 1LL);
    t5 = (t8 != t9);
    if (t5 == 1)
        goto LAB21;

LAB22:    t3 = (unsigned char)0;

LAB23:    if (t3 != 0)
        goto LAB19;

LAB20:
LAB9:    t1 = (t0 + 7896U);
    t2 = *((char **)t1);
    t8 = *((int64 *)t2);
    t9 = (0 * 1LL);
    t5 = (t8 == t9);
    if (t5 == 1)
        goto LAB27;

LAB28:    t3 = (unsigned char)0;

LAB29:    if (t3 != 0)
        goto LAB24;

LAB26:    t1 = (t0 + 7896U);
    t2 = *((char **)t1);
    t8 = *((int64 *)t2);
    t9 = (0 * 1LL);
    t5 = (t8 != t9);
    if (t5 == 1)
        goto LAB32;

LAB33:    t3 = (unsigned char)0;

LAB34:    if (t3 != 0)
        goto LAB30;

LAB31:    t1 = (t0 + 7896U);
    t2 = *((char **)t1);
    t8 = *((int64 *)t2);
    t9 = (0 * 1LL);
    t5 = (t8 != t9);
    if (t5 == 1)
        goto LAB37;

LAB38:    t3 = (unsigned char)0;

LAB39:    if (t3 != 0)
        goto LAB35;

LAB36:
LAB25:    t1 = (t0 + 12216U);
    t2 = *((char **)t1);
    t1 = (t2 + 0);
    *((unsigned char *)t1) = (unsigned char)0;
    goto LAB3;

LAB5:    t1 = (t0 + 12336U);
    t7 = *((char **)t1);
    t1 = (t7 + 0);
    *((unsigned char *)t1) = (unsigned char)3;
    t1 = (t0 + 12456U);
    t2 = *((char **)t1);
    t1 = (t2 + 0);
    *((unsigned char *)t1) = (unsigned char)2;
    goto LAB6;

LAB8:    t1 = (t0 + 8376U);
    t7 = *((char **)t1);
    t12 = *((int64 *)t7);
    t1 = (t0 + 11976U);
    t13 = *((char **)t1);
    t1 = (t13 + 0);
    *((int64 *)t1) = t12;
    goto LAB9;

LAB11:    t1 = (t0 + 8376U);
    t4 = *((char **)t1);
    t10 = *((int64 *)t4);
    t11 = (0 * 1LL);
    t6 = (t10 != t11);
    t3 = t6;
    goto LAB13;

LAB14:    t1 = (t0 + 7776U);
    t7 = *((char **)t1);
    t12 = *((int64 *)t7);
    t1 = (t0 + 11976U);
    t13 = *((char **)t1);
    t1 = (t13 + 0);
    *((int64 *)t1) = t12;
    goto LAB9;

LAB16:    t1 = (t0 + 8376U);
    t4 = *((char **)t1);
    t10 = *((int64 *)t4);
    t11 = (0 * 1LL);
    t6 = (t10 == t11);
    t3 = t6;
    goto LAB18;

LAB19:    t1 = (t0 + 8376U);
    t7 = *((char **)t1);
    t12 = *((int64 *)t7);
    t1 = (t0 + 11976U);
    t13 = *((char **)t1);
    t1 = (t13 + 0);
    *((int64 *)t1) = t12;
    goto LAB9;

LAB21:    t1 = (t0 + 8376U);
    t4 = *((char **)t1);
    t10 = *((int64 *)t4);
    t11 = (0 * 1LL);
    t6 = (t10 != t11);
    t3 = t6;
    goto LAB23;

LAB24:    t1 = (t0 + 8256U);
    t7 = *((char **)t1);
    t12 = *((int64 *)t7);
    t1 = (t0 + 12096U);
    t13 = *((char **)t1);
    t1 = (t13 + 0);
    *((int64 *)t1) = t12;
    goto LAB25;

LAB27:    t1 = (t0 + 8256U);
    t4 = *((char **)t1);
    t10 = *((int64 *)t4);
    t11 = (0 * 1LL);
    t6 = (t10 != t11);
    t3 = t6;
    goto LAB29;

LAB30:    t1 = (t0 + 7896U);
    t7 = *((char **)t1);
    t12 = *((int64 *)t7);
    t1 = (t0 + 12096U);
    t13 = *((char **)t1);
    t1 = (t13 + 0);
    *((int64 *)t1) = t12;
    goto LAB25;

LAB32:    t1 = (t0 + 8256U);
    t4 = *((char **)t1);
    t10 = *((int64 *)t4);
    t11 = (0 * 1LL);
    t6 = (t10 == t11);
    t3 = t6;
    goto LAB34;

LAB35:    t1 = (t0 + 8256U);
    t7 = *((char **)t1);
    t12 = *((int64 *)t7);
    t1 = (t0 + 12096U);
    t13 = *((char **)t1);
    t1 = (t13 + 0);
    *((int64 *)t1) = t12;
    goto LAB25;

LAB37:    t1 = (t0 + 8256U);
    t4 = *((char **)t1);
    t10 = *((int64 *)t4);
    t11 = (0 * 1LL);
    t6 = (t10 != t11);
    t3 = t6;
    goto LAB39;

LAB40:    t1 = (t0 + 18760);
    t4 = (t0 + 11136U);
    t7 = *((char **)t4);
    t4 = (t7 + 0);
    t13 = (t0 + 10656U);
    t14 = *((char **)t13);
    t13 = (t0 + 4720U);
    t15 = (t0 + 32019);
    t18 = (t17 + 0U);
    t19 = (t18 + 0U);
    *((int *)t19) = 1;
    t19 = (t18 + 4U);
    *((int *)t19) = 1;
    t19 = (t18 + 8U);
    *((int *)t19) = 1;
    t20 = (1 - 1);
    t21 = (t20 * 1);
    t21 = (t21 + 1);
    t19 = (t18 + 12U);
    *((unsigned int *)t19) = t21;
    t19 = (t0 + 8736U);
    t22 = *((char **)t19);
    t8 = *((int64 *)t22);
    t19 = (t0 + 4560U);
    t23 = (t0 + 32020);
    t26 = (t25 + 0U);
    t27 = (t26 + 0U);
    *((int *)t27) = 1;
    t27 = (t26 + 4U);
    *((int *)t27) = 3;
    t27 = (t26 + 8U);
    *((int *)t27) = 1;
    t28 = (3 - 1);
    t21 = (t28 * 1);
    t21 = (t21 + 1);
    t27 = (t26 + 12U);
    *((unsigned int *)t27) = t21;
    t27 = (t0 + 8496U);
    t29 = *((char **)t27);
    t9 = *((int64 *)t29);
    t27 = (t0 + 6816U);
    t30 = *((char **)t27);
    t10 = *((int64 *)t30);
    t27 = (t0 + 6936U);
    t31 = *((char **)t27);
    t11 = *((int64 *)t31);
    t27 = (t0 + 7416U);
    t32 = *((char **)t27);
    t12 = *((int64 *)t32);
    t27 = (t0 + 7296U);
    t33 = *((char **)t27);
    t34 = *((int64 *)t33);
    t27 = (t0 + 4920U);
    t35 = *((char **)t27);
    t6 = *((unsigned char *)t35);
    t36 = ieee_p_2592010699_sub_1690584930_503743352(IEEE_P_2592010699, t6);
    t27 = (t0 + 4440U);
    t37 = *((char **)t27);
    t38 = *((unsigned char *)t37);
    t39 = ieee_p_2592010699_sub_1605435078_503743352(IEEE_P_2592010699, t36, t38);
    t27 = (t0 + 5080U);
    t40 = *((char **)t27);
    t41 = *((unsigned char *)t40);
    t42 = ieee_p_2592010699_sub_1690584930_503743352(IEEE_P_2592010699, t41);
    t43 = ieee_p_2592010699_sub_1605435078_503743352(IEEE_P_2592010699, t39, t42);
    t44 = ieee_p_2592010699_sub_1388759734_503743352(IEEE_P_2592010699, t43);
    t45 = (t44 != (unsigned char)2);
    if (t45 == 1)
        goto LAB43;

LAB44:    t5 = (unsigned char)0;

LAB45:    t27 = (t0 + 32023);
    t51 = (t50 + 0U);
    t52 = (t51 + 0U);
    *((int *)t52) = 1;
    t52 = (t51 + 4U);
    *((int *)t52) = 5;
    t52 = (t51 + 8U);
    *((int *)t52) = 1;
    t53 = (5 - 1);
    t21 = (t53 * 1);
    t21 = (t21 + 1);
    t52 = (t51 + 12U);
    *((unsigned int *)t52) = t21;
    t52 = (t0 + 5496U);
    t54 = *((char **)t52);
    t55 = *((unsigned char *)t54);
    t52 = (t0 + 5616U);
    t56 = *((char **)t52);
    t57 = *((unsigned char *)t56);
    ieee_p_2717149903_sub_3797369404_2101202839(IEEE_P_2717149903, t1, t4, t14, t13, 0U, 0U, t15, t17, t8, t19, 0U, 0U, t23, t25, t9, t10, t11, t12, t34, t5, (unsigned char)8, t27, t50, t55, t57, (unsigned char)1, (unsigned char)1, (unsigned char)1, (unsigned char)1, (unsigned char)1);
    t1 = (t0 + 18760);
    t2 = (t0 + 11016U);
    t4 = *((char **)t2);
    t2 = (t4 + 0);
    t7 = (t0 + 10536U);
    t13 = *((char **)t7);
    t7 = (t0 + 4400U);
    t14 = (t0 + 32028);
    t16 = (t17 + 0U);
    t18 = (t16 + 0U);
    *((int *)t18) = 1;
    t18 = (t16 + 4U);
    *((int *)t18) = 2;
    t18 = (t16 + 8U);
    *((int *)t18) = 1;
    t20 = (2 - 1);
    t21 = (t20 * 1);
    t21 = (t21 + 1);
    t18 = (t16 + 12U);
    *((unsigned int *)t18) = t21;
    t18 = (t0 + 8616U);
    t19 = *((char **)t18);
    t8 = *((int64 *)t19);
    t18 = (t0 + 4560U);
    t22 = (t0 + 32030);
    t24 = (t25 + 0U);
    t26 = (t24 + 0U);
    *((int *)t26) = 1;
    t26 = (t24 + 4U);
    *((int *)t26) = 3;
    t26 = (t24 + 8U);
    *((int *)t26) = 1;
    t28 = (3 - 1);
    t21 = (t28 * 1);
    t21 = (t21 + 1);
    t26 = (t24 + 12U);
    *((unsigned int *)t26) = t21;
    t26 = (t0 + 8496U);
    t27 = *((char **)t26);
    t9 = *((int64 *)t27);
    t26 = (t0 + 7056U);
    t29 = *((char **)t26);
    t10 = *((int64 *)t29);
    t26 = (t0 + 7176U);
    t30 = *((char **)t26);
    t11 = *((int64 *)t30);
    t26 = (t0 + 7656U);
    t31 = *((char **)t26);
    t12 = *((int64 *)t31);
    t26 = (t0 + 7536U);
    t32 = *((char **)t26);
    t34 = *((int64 *)t32);
    t26 = (t0 + 4920U);
    t33 = *((char **)t26);
    t5 = *((unsigned char *)t33);
    t6 = ieee_p_2592010699_sub_1690584930_503743352(IEEE_P_2592010699, t5);
    t26 = (t0 + 11736U);
    t35 = *((char **)t26);
    t36 = *((unsigned char *)t35);
    t26 = (t0 + 4760U);
    t37 = *((char **)t26);
    t38 = *((unsigned char *)t37);
    t39 = ieee_p_2592010699_sub_2507238156_503743352(IEEE_P_2592010699, t36, t38);
    t41 = ieee_p_2592010699_sub_1605435078_503743352(IEEE_P_2592010699, t6, t39);
    t26 = (t0 + 5080U);
    t40 = *((char **)t26);
    t42 = *((unsigned char *)t40);
    t43 = ieee_p_2592010699_sub_1690584930_503743352(IEEE_P_2592010699, t42);
    t44 = ieee_p_2592010699_sub_1605435078_503743352(IEEE_P_2592010699, t41, t43);
    t45 = ieee_p_2592010699_sub_1388759734_503743352(IEEE_P_2592010699, t44);
    t47 = (t45 != (unsigned char)2);
    if (t47 == 1)
        goto LAB46;

LAB47:    t3 = (unsigned char)0;

LAB48:    t26 = (t0 + 32033);
    t51 = (t50 + 0U);
    t52 = (t51 + 0U);
    *((int *)t52) = 1;
    t52 = (t51 + 4U);
    *((int *)t52) = 5;
    t52 = (t51 + 8U);
    *((int *)t52) = 1;
    t53 = (5 - 1);
    t21 = (t53 * 1);
    t21 = (t21 + 1);
    t52 = (t51 + 12U);
    *((unsigned int *)t52) = t21;
    t52 = (t0 + 5496U);
    t54 = *((char **)t52);
    t57 = *((unsigned char *)t54);
    t52 = (t0 + 5616U);
    t56 = *((char **)t52);
    t58 = *((unsigned char *)t56);
    ieee_p_2717149903_sub_3797369404_2101202839(IEEE_P_2717149903, t1, t2, t13, t7, 0U, 0U, t14, t17, t8, t18, 0U, 0U, t22, t25, t9, t10, t11, t12, t34, t3, (unsigned char)8, t26, t50, t57, t58, (unsigned char)1, (unsigned char)1, (unsigned char)1, (unsigned char)1, (unsigned char)1);
    t1 = (t0 + 18760);
    t2 = (t0 + 11256U);
    t4 = *((char **)t2);
    t2 = (t4 + 0);
    t7 = (t0 + 10776U);
    t13 = *((char **)t7);
    t7 = (t0 + 4880U);
    t14 = (t0 + 32038);
    t16 = (t17 + 0U);
    t18 = (t16 + 0U);
    *((int *)t18) = 1;
    t18 = (t16 + 4U);
    *((int *)t18) = 3;
    t18 = (t16 + 8U);
    *((int *)t18) = 1;
    t20 = (3 - 1);
    t21 = (t20 * 1);
    t21 = (t21 + 1);
    t18 = (t16 + 12U);
    *((unsigned int *)t18) = t21;
    t18 = (t0 + 8856U);
    t19 = *((char **)t18);
    t8 = *((int64 *)t19);
    t18 = (t0 + 4560U);
    t22 = (t0 + 32041);
    t24 = (t25 + 0U);
    t26 = (t24 + 0U);
    *((int *)t26) = 1;
    t26 = (t24 + 4U);
    *((int *)t26) = 3;
    t26 = (t24 + 8U);
    *((int *)t26) = 1;
    t28 = (3 - 1);
    t21 = (t28 * 1);
    t21 = (t21 + 1);
    t26 = (t24 + 12U);
    *((unsigned int *)t26) = t21;
    t26 = (t0 + 8496U);
    t27 = *((char **)t26);
    t9 = *((int64 *)t27);
    t26 = (t0 + 8136U);
    t29 = *((char **)t26);
    t10 = *((int64 *)t29);
    t26 = (t0 + 11976U);
    t30 = *((char **)t26);
    t11 = *((int64 *)t30);
    t26 = (t0 + 4440U);
    t31 = *((char **)t26);
    t6 = *((unsigned char *)t31);
    t36 = ieee_p_2592010699_sub_1388759734_503743352(IEEE_P_2592010699, t6);
    t38 = (t36 != (unsigned char)2);
    if (t38 == 1)
        goto LAB52;

LAB53:    t5 = (unsigned char)0;

LAB54:    if (t5 == 1)
        goto LAB49;

LAB50:    t3 = (unsigned char)0;

LAB51:    t26 = (t0 + 32044);
    t37 = (t50 + 0U);
    t40 = (t37 + 0U);
    *((int *)t40) = 1;
    t40 = (t37 + 4U);
    *((int *)t40) = 5;
    t40 = (t37 + 8U);
    *((int *)t40) = 1;
    t53 = (5 - 1);
    t21 = (t53 * 1);
    t21 = (t21 + 1);
    t40 = (t37 + 12U);
    *((unsigned int *)t40) = t21;
    t40 = (t0 + 5496U);
    t46 = *((char **)t40);
    t44 = *((unsigned char *)t46);
    t40 = (t0 + 5616U);
    t49 = *((char **)t40);
    t45 = *((unsigned char *)t49);
    ieee_p_2717149903_sub_2603698110_2101202839(IEEE_P_2717149903, t1, t2, t13, t7, 0U, 0U, t14, t17, t8, t18, 0U, 0U, t22, t25, t9, t10, t11, (unsigned char)0, t3, (unsigned char)8, t26, t50, t44, t45, (unsigned char)1, (unsigned char)1, (unsigned char)1, (unsigned char)1, (unsigned char)1);
    t1 = (t0 + 18760);
    t2 = (t0 + 11376U);
    t4 = *((char **)t2);
    t2 = (t4 + 0);
    t7 = (t0 + 10896U);
    t13 = *((char **)t7);
    t7 = (t0 + 5040U);
    t14 = (t0 + 32049);
    t16 = (t17 + 0U);
    t18 = (t16 + 0U);
    *((int *)t18) = 1;
    t18 = (t16 + 4U);
    *((int *)t18) = 3;
    t18 = (t16 + 8U);
    *((int *)t18) = 1;
    t20 = (3 - 1);
    t21 = (t20 * 1);
    t21 = (t21 + 1);
    t18 = (t16 + 12U);
    *((unsigned int *)t18) = t21;
    t18 = (t0 + 8976U);
    t19 = *((char **)t18);
    t8 = *((int64 *)t19);
    t18 = (t0 + 4560U);
    t22 = (t0 + 32052);
    t24 = (t25 + 0U);
    t26 = (t24 + 0U);
    *((int *)t26) = 1;
    t26 = (t24 + 4U);
    *((int *)t26) = 3;
    t26 = (t24 + 8U);
    *((int *)t26) = 1;
    t28 = (3 - 1);
    t21 = (t28 * 1);
    t21 = (t21 + 1);
    t26 = (t24 + 12U);
    *((unsigned int *)t26) = t21;
    t26 = (t0 + 8496U);
    t27 = *((char **)t26);
    t9 = *((int64 *)t27);
    t26 = (t0 + 8016U);
    t29 = *((char **)t26);
    t10 = *((int64 *)t29);
    t26 = (t0 + 12096U);
    t30 = *((char **)t26);
    t11 = *((int64 *)t30);
    t26 = (t0 + 4920U);
    t31 = *((char **)t26);
    t6 = *((unsigned char *)t31);
    t36 = ieee_p_2592010699_sub_1690584930_503743352(IEEE_P_2592010699, t6);
    t26 = (t0 + 4440U);
    t32 = *((char **)t26);
    t38 = *((unsigned char *)t32);
    t39 = ieee_p_2592010699_sub_1605435078_503743352(IEEE_P_2592010699, t36, t38);
    t41 = ieee_p_2592010699_sub_1388759734_503743352(IEEE_P_2592010699, t39);
    t42 = (t41 != (unsigned char)2);
    if (t42 == 1)
        goto LAB58;

LAB59:    t5 = (unsigned char)0;

LAB60:    if (t5 == 1)
        goto LAB55;

LAB56:    t3 = (unsigned char)0;

LAB57:    t26 = (t0 + 32055);
    t40 = (t50 + 0U);
    t46 = (t40 + 0U);
    *((int *)t46) = 1;
    t46 = (t40 + 4U);
    *((int *)t46) = 5;
    t46 = (t40 + 8U);
    *((int *)t46) = 1;
    t53 = (5 - 1);
    t21 = (t53 * 1);
    t21 = (t21 + 1);
    t46 = (t40 + 12U);
    *((unsigned int *)t46) = t21;
    t46 = (t0 + 5496U);
    t49 = *((char **)t46);
    t48 = *((unsigned char *)t49);
    t46 = (t0 + 5616U);
    t51 = *((char **)t46);
    t55 = *((unsigned char *)t51);
    ieee_p_2717149903_sub_2603698110_2101202839(IEEE_P_2717149903, t1, t2, t13, t7, 0U, 0U, t14, t17, t8, t18, 0U, 0U, t22, t25, t9, t10, t11, (unsigned char)0, t3, (unsigned char)8, t26, t50, t48, t55, (unsigned char)1, (unsigned char)1, (unsigned char)1, (unsigned char)1, (unsigned char)1);
    t1 = (t0 + 18760);
    t2 = (t0 + 10176U);
    t4 = *((char **)t2);
    t2 = (t4 + 0);
    t7 = (t0 + 9816U);
    t13 = *((char **)t7);
    t7 = (t0 + 4560U);
    t14 = (t0 + 32060);
    t16 = (t17 + 0U);
    t18 = (t16 + 0U);
    *((int *)t18) = 1;
    t18 = (t16 + 4U);
    *((int *)t18) = 3;
    t18 = (t16 + 8U);
    *((int *)t18) = 1;
    t20 = (3 - 1);
    t21 = (t20 * 1);
    t21 = (t21 + 1);
    t18 = (t16 + 12U);
    *((unsigned int *)t18) = t21;
    t8 = (0 * 1LL);
    t18 = (t0 + 9096U);
    t19 = *((char **)t18);
    t9 = *((int64 *)t19);
    t18 = (t0 + 9216U);
    t22 = *((char **)t18);
    t10 = *((int64 *)t22);
    t18 = (t0 + 9336U);
    t23 = *((char **)t18);
    t11 = *((int64 *)t23);
    t18 = (t0 + 4440U);
    t24 = *((char **)t18);
    t3 = *((unsigned char *)t24);
    t5 = ieee_p_2592010699_sub_1388759734_503743352(IEEE_P_2592010699, t3);
    t6 = (t5 != (unsigned char)2);
    t18 = (t0 + 32063);
    t27 = (t25 + 0U);
    t29 = (t27 + 0U);
    *((int *)t29) = 1;
    t29 = (t27 + 4U);
    *((int *)t29) = 5;
    t29 = (t27 + 8U);
    *((int *)t29) = 1;
    t28 = (5 - 1);
    t21 = (t28 * 1);
    t21 = (t21 + 1);
    t29 = (t27 + 12U);
    *((unsigned int *)t29) = t21;
    t29 = (t0 + 5496U);
    t30 = *((char **)t29);
    t36 = *((unsigned char *)t30);
    t29 = (t0 + 5616U);
    t31 = *((char **)t29);
    t38 = *((unsigned char *)t31);
    ieee_p_2717149903_sub_756322403_2101202839(IEEE_P_2717149903, t1, t2, t13, t7, 0U, 0U, t14, t17, t8, t9, t10, t11, t6, t18, t25, t36, t38, (unsigned char)1);
    t1 = (t0 + 18760);
    t2 = (t0 + 10296U);
    t4 = *((char **)t2);
    t2 = (t4 + 0);
    t7 = (t0 + 9936U);
    t13 = *((char **)t7);
    t7 = (t0 + 4880U);
    t14 = (t0 + 32068);
    t16 = (t17 + 0U);
    t18 = (t16 + 0U);
    *((int *)t18) = 1;
    t18 = (t16 + 4U);
    *((int *)t18) = 3;
    t18 = (t16 + 8U);
    *((int *)t18) = 1;
    t20 = (3 - 1);
    t21 = (t20 * 1);
    t21 = (t21 + 1);
    t18 = (t16 + 12U);
    *((unsigned int *)t18) = t21;
    t8 = (0 * 1LL);
    t9 = (0 * 1LL);
    t18 = (t0 + 9456U);
    t19 = *((char **)t18);
    t10 = *((int64 *)t19);
    t11 = (0 * 1LL);
    t18 = (t0 + 32071);
    t23 = (t25 + 0U);
    t24 = (t23 + 0U);
    *((int *)t24) = 1;
    t24 = (t23 + 4U);
    *((int *)t24) = 5;
    t24 = (t23 + 8U);
    *((int *)t24) = 1;
    t28 = (5 - 1);
    t21 = (t28 * 1);
    t21 = (t21 + 1);
    t24 = (t23 + 12U);
    *((unsigned int *)t24) = t21;
    t24 = (t0 + 5496U);
    t26 = *((char **)t24);
    t3 = *((unsigned char *)t26);
    t24 = (t0 + 5616U);
    t27 = *((char **)t24);
    t5 = *((unsigned char *)t27);
    ieee_p_2717149903_sub_756322403_2101202839(IEEE_P_2717149903, t1, t2, t13, t7, 0U, 0U, t14, t17, t8, t9, t10, t11, (unsigned char)1, t18, t25, t3, t5, (unsigned char)1);
    t1 = (t0 + 18760);
    t2 = (t0 + 10416U);
    t4 = *((char **)t2);
    t2 = (t4 + 0);
    t7 = (t0 + 10056U);
    t13 = *((char **)t7);
    t7 = (t0 + 5040U);
    t14 = (t0 + 32076);
    t16 = (t17 + 0U);
    t18 = (t16 + 0U);
    *((int *)t18) = 1;
    t18 = (t16 + 4U);
    *((int *)t18) = 3;
    t18 = (t16 + 8U);
    *((int *)t18) = 1;
    t20 = (3 - 1);
    t21 = (t20 * 1);
    t21 = (t21 + 1);
    t18 = (t16 + 12U);
    *((unsigned int *)t18) = t21;
    t8 = (0 * 1LL);
    t9 = (0 * 1LL);
    t18 = (t0 + 9576U);
    t19 = *((char **)t18);
    t10 = *((int64 *)t19);
    t11 = (0 * 1LL);
    t18 = (t0 + 32079);
    t23 = (t25 + 0U);
    t24 = (t23 + 0U);
    *((int *)t24) = 1;
    t24 = (t23 + 4U);
    *((int *)t24) = 5;
    t24 = (t23 + 8U);
    *((int *)t24) = 1;
    t28 = (5 - 1);
    t21 = (t28 * 1);
    t21 = (t21 + 1);
    t24 = (t23 + 12U);
    *((unsigned int *)t24) = t21;
    t24 = (t0 + 5496U);
    t26 = *((char **)t24);
    t3 = *((unsigned char *)t26);
    t24 = (t0 + 5616U);
    t27 = *((char **)t24);
    t5 = *((unsigned char *)t27);
    ieee_p_2717149903_sub_756322403_2101202839(IEEE_P_2717149903, t1, t2, t13, t7, 0U, 0U, t14, t17, t8, t9, t10, t11, (unsigned char)1, t18, t25, t3, t5, (unsigned char)1);
    goto LAB41;

LAB43:    t27 = (t0 + 3000U);
    t46 = *((char **)t27);
    t47 = *((unsigned char *)t46);
    t48 = (t47 == (unsigned char)2);
    t5 = t48;
    goto LAB45;

LAB46:    t26 = (t0 + 3000U);
    t46 = *((char **)t26);
    t48 = *((unsigned char *)t46);
    t55 = (t48 == (unsigned char)2);
    t3 = t55;
    goto LAB48;

LAB49:    t26 = (t0 + 3000U);
    t33 = *((char **)t26);
    t42 = *((unsigned char *)t33);
    t43 = (t42 == (unsigned char)2);
    t3 = t43;
    goto LAB51;

LAB52:    t26 = (t0 + 4760U);
    t32 = *((char **)t26);
    t39 = *((unsigned char *)t32);
    t41 = (t39 != (unsigned char)2);
    t5 = t41;
    goto LAB54;

LAB55:    t26 = (t0 + 3000U);
    t35 = *((char **)t26);
    t45 = *((unsigned char *)t35);
    t47 = (t45 == (unsigned char)2);
    t3 = t47;
    goto LAB57;

LAB58:    t26 = (t0 + 4760U);
    t33 = *((char **)t26);
    t43 = *((unsigned char *)t33);
    t44 = (t43 != (unsigned char)3);
    t5 = t44;
    goto LAB60;

LAB61:    t1 = (t0 + 5736U);
    t7 = *((char **)t1);
    t39 = *((unsigned char *)t7);
    t41 = (t39 == (unsigned char)1);
    if (t41 != 0)
        goto LAB67;

LAB69:    t1 = (t0 + 12336U);
    t2 = *((char **)t1);
    t1 = (t2 + 0);
    *((unsigned char *)t1) = (unsigned char)2;
    t1 = (t0 + 12456U);
    t2 = *((char **)t1);
    t1 = (t2 + 0);
    *((unsigned char *)t1) = (unsigned char)3;

LAB68:    goto LAB62;

LAB64:    t3 = (unsigned char)1;
    goto LAB66;

LAB67:    t1 = (t0 + 12336U);
    t13 = *((char **)t1);
    t1 = (t13 + 0);
    *((unsigned char *)t1) = (unsigned char)3;
    t1 = (t0 + 12456U);
    t2 = *((char **)t1);
    t1 = (t2 + 0);
    *((unsigned char *)t1) = (unsigned char)2;
    goto LAB68;

LAB70:    t30 = (t0 + 3160U);
    t37 = *((char **)t30);
    t55 = *((unsigned char *)t37);
    t57 = (t55 == (unsigned char)2);
    t5 = t57;
    goto LAB72;

LAB73:    t30 = (t0 + 3000U);
    t35 = *((char **)t30);
    t47 = *((unsigned char *)t35);
    t48 = (t47 == (unsigned char)2);
    t6 = t48;
    goto LAB75;

LAB76:    t30 = (t0 + 5080U);
    t33 = *((char **)t30);
    t44 = *((unsigned char *)t33);
    t45 = (t44 != (unsigned char)3);
    t36 = t45;
    goto LAB78;

LAB79:    t30 = (t0 + 4920U);
    t32 = *((char **)t30);
    t42 = *((unsigned char *)t32);
    t43 = (t42 != (unsigned char)3);
    t38 = t43;
    goto LAB81;

LAB82:    t54 = (t0 + 3160U);
    t69 = *((char **)t54);
    t70 = *((unsigned char *)t69);
    t71 = (t70 == (unsigned char)2);
    t58 = t71;
    goto LAB84;

LAB85:    t54 = (t0 + 3000U);
    t66 = *((char **)t54);
    t67 = *((unsigned char *)t66);
    t68 = (t67 == (unsigned char)2);
    t63 = t68;
    goto LAB87;

LAB88:    t81 = (t0 + 3160U);
    t85 = *((char **)t81);
    t86 = *((unsigned char *)t85);
    t87 = (t86 == (unsigned char)2);
    t80 = t87;
    goto LAB90;

}
Пример #28
0
static void work_a_3565583652_3212880686_p_4(char *t0)
{
    unsigned char t1;
    char *t2;
    char *t3;
    unsigned char t4;
    unsigned char t5;
    char *t6;
    unsigned char t7;
    unsigned char t8;
    char *t9;
    unsigned char t10;
    unsigned char t11;
    char *t12;
    unsigned char t13;
    char *t14;
    unsigned char t15;
    unsigned char t16;
    char *t17;
    unsigned char t18;
    unsigned char t19;
    char *t20;
    unsigned char t21;
    unsigned char t22;
    unsigned char t23;
    char *t24;
    char *t25;
    char *t26;
    char *t27;
    char *t28;
    char *t29;
    char *t30;
    char *t31;
    char *t32;
    char *t33;

LAB0:    xsi_set_current_line(82, ng0);
    t2 = (t0 + 4072U);
    t3 = *((char **)t2);
    t4 = *((unsigned char *)t3);
    t5 = (t4 == (unsigned char)1);
    if (t5 == 1)
        goto LAB5;

LAB6:    t2 = (t0 + 4072U);
    t6 = *((char **)t2);
    t7 = *((unsigned char *)t6);
    t8 = (t7 == (unsigned char)2);
    t1 = t8;

LAB7:    if (t1 != 0)
        goto LAB3;

LAB4:
LAB8:    t28 = (t0 + 7208);
    t29 = (t28 + 56U);
    t30 = *((char **)t29);
    t31 = (t30 + 56U);
    t32 = *((char **)t31);
    *((unsigned char *)t32) = (unsigned char)2;
    xsi_driver_first_trans_fast_port(t28);

LAB2:    t33 = (t0 + 6856);
    *((int *)t33) = 1;

LAB1:    return;
LAB3:    t2 = (t0 + 3272U);
    t9 = *((char **)t2);
    t10 = *((unsigned char *)t9);
    t11 = ieee_p_2592010699_sub_1690584930_503743352(IEEE_P_2592010699, t10);
    t2 = (t0 + 3912U);
    t12 = *((char **)t2);
    t13 = *((unsigned char *)t12);
    t2 = (t0 + 2312U);
    t14 = *((char **)t2);
    t15 = *((unsigned char *)t14);
    t16 = ieee_p_2592010699_sub_1605435078_503743352(IEEE_P_2592010699, t13, t15);
    t2 = (t0 + 2792U);
    t17 = *((char **)t2);
    t18 = *((unsigned char *)t17);
    t19 = ieee_p_2592010699_sub_1605435078_503743352(IEEE_P_2592010699, t16, t18);
    t2 = (t0 + 1832U);
    t20 = *((char **)t2);
    t21 = *((unsigned char *)t20);
    t22 = ieee_p_2592010699_sub_1605435078_503743352(IEEE_P_2592010699, t19, t21);
    t23 = ieee_p_2592010699_sub_2545490612_503743352(IEEE_P_2592010699, t11, t22);
    t2 = (t0 + 7208);
    t24 = (t2 + 56U);
    t25 = *((char **)t24);
    t26 = (t25 + 56U);
    t27 = *((char **)t26);
    *((unsigned char *)t27) = t23;
    xsi_driver_first_trans_fast_port(t2);
    goto LAB2;

LAB5:    t1 = (unsigned char)1;
    goto LAB7;

LAB9:    goto LAB2;

}
static void work_a_0510845785_3212880686_p_1(char *t0)
{
    char *t1;
    char *t2;
    unsigned char t3;
    char *t4;
    unsigned char t5;
    unsigned char t6;
    char *t7;
    unsigned char t8;
    char *t9;
    unsigned char t10;
    unsigned char t11;
    unsigned char t12;
    char *t13;
    unsigned char t14;
    char *t15;
    unsigned char t16;
    unsigned char t17;
    unsigned char t18;
    char *t19;
    char *t20;
    char *t21;
    char *t22;
    char *t23;

LAB0:    xsi_set_current_line(40, ng0);

LAB3:    t1 = (t0 + 1032U);
    t2 = *((char **)t1);
    t3 = *((unsigned char *)t2);
    t1 = (t0 + 1192U);
    t4 = *((char **)t1);
    t5 = *((unsigned char *)t4);
    t6 = ieee_p_2592010699_sub_1605435078_503743352(IEEE_P_2592010699, t3, t5);
    t1 = (t0 + 1192U);
    t7 = *((char **)t1);
    t8 = *((unsigned char *)t7);
    t1 = (t0 + 1352U);
    t9 = *((char **)t1);
    t10 = *((unsigned char *)t9);
    t11 = ieee_p_2592010699_sub_1605435078_503743352(IEEE_P_2592010699, t8, t10);
    t12 = ieee_p_2592010699_sub_2545490612_503743352(IEEE_P_2592010699, t6, t11);
    t1 = (t0 + 1032U);
    t13 = *((char **)t1);
    t14 = *((unsigned char *)t13);
    t1 = (t0 + 1352U);
    t15 = *((char **)t1);
    t16 = *((unsigned char *)t15);
    t17 = ieee_p_2592010699_sub_1605435078_503743352(IEEE_P_2592010699, t14, t16);
    t18 = ieee_p_2592010699_sub_2545490612_503743352(IEEE_P_2592010699, t12, t17);
    t1 = (t0 + 3560);
    t19 = (t1 + 56U);
    t20 = *((char **)t19);
    t21 = (t20 + 56U);
    t22 = *((char **)t21);
    *((unsigned char *)t22) = t18;
    xsi_driver_first_trans_fast_port(t1);

LAB2:    t23 = (t0 + 3416);
    *((int *)t23) = 1;

LAB1:    return;
LAB4:    goto LAB2;

}
Пример #30
0
static void work_a_4042967392_3212880686_p_8(char *t0)
{
    char t51[16];
    char t52[16];
    char *t1;
    unsigned char t2;
    unsigned char t3;
    char *t4;
    char *t5;
    unsigned char t6;
    unsigned char t7;
    unsigned char t8;
    unsigned char t9;
    char *t10;
    int t11;
    int t12;
    unsigned int t13;
    unsigned int t14;
    unsigned int t15;
    unsigned char t16;
    unsigned char t17;
    char *t18;
    char *t19;
    unsigned char t20;
    unsigned char t21;
    unsigned char t22;
    unsigned char t23;
    char *t24;
    int t25;
    int t26;
    unsigned int t27;
    unsigned int t28;
    unsigned int t29;
    unsigned char t30;
    unsigned char t31;
    char *t32;
    char *t33;
    unsigned char t34;
    unsigned char t35;
    char *t36;
    unsigned char t37;
    unsigned char t38;
    char *t39;
    int t40;
    int t41;
    unsigned int t42;
    unsigned int t43;
    unsigned int t44;
    unsigned char t45;
    char *t46;
    char *t47;
    char *t48;
    char *t49;
    char *t50;
    char *t53;
    char *t54;
    char *t55;

LAB0:    xsi_set_current_line(100, ng0);
    t1 = (t0 + 992U);
    t2 = ieee_p_2592010699_sub_1744673427_503743352(IEEE_P_2592010699, t1, 0U, 0U);
    if (t2 != 0)
        goto LAB2;

LAB4:
LAB3:    t1 = (t0 + 8504);
    *((int *)t1) = 1;

LAB1:    return;
LAB2:    xsi_set_current_line(102, ng0);
    t4 = (t0 + 3432U);
    t5 = *((char **)t4);
    t6 = *((unsigned char *)t5);
    t7 = (t6 == (unsigned char)3);
    if (t7 == 1)
        goto LAB8;

LAB9:    t3 = (unsigned char)0;

LAB10:    if (t3 != 0)
        goto LAB5;

LAB7:
LAB6:    xsi_set_current_line(107, ng0);
    t1 = (t0 + 3432U);
    t4 = *((char **)t1);
    t7 = *((unsigned char *)t4);
    t8 = (t7 == (unsigned char)3);
    if (t8 == 1)
        goto LAB32;

LAB33:    t6 = (unsigned char)0;

LAB34:    if (t6 == 1)
        goto LAB29;

LAB30:    t1 = (t0 + 3432U);
    t10 = *((char **)t1);
    t21 = *((unsigned char *)t10);
    t22 = (t21 == (unsigned char)3);
    if (t22 == 1)
        goto LAB38;

LAB39:    t20 = (unsigned char)0;

LAB40:    if (t20 == 1)
        goto LAB35;

LAB36:    t17 = (unsigned char)0;

LAB37:    t3 = t17;

LAB31:    if (t3 == 1)
        goto LAB26;

LAB27:    t1 = (t0 + 4232U);
    t24 = *((char **)t1);
    t11 = (2 - 1);
    t12 = (t11 - 0);
    t13 = (t12 * 1);
    t14 = (1U * t13);
    t15 = (0 + t14);
    t1 = (t24 + t15);
    t35 = *((unsigned char *)t1);
    t37 = (t35 == (unsigned char)2);
    t2 = t37;

LAB28:    if (t2 != 0)
        goto LAB23;

LAB25:
LAB24:    xsi_set_current_line(114, ng0);
    t1 = (t0 + 3432U);
    t4 = *((char **)t1);
    t3 = *((unsigned char *)t4);
    t6 = (t3 == (unsigned char)3);
    if (t6 == 1)
        goto LAB50;

LAB51:    t2 = (unsigned char)0;

LAB52:    if (t2 != 0)
        goto LAB47;

LAB49:
LAB48:    xsi_set_current_line(121, ng0);
    t1 = (t0 + 3432U);
    t4 = *((char **)t1);
    t2 = *((unsigned char *)t4);
    t3 = (t2 == (unsigned char)3);
    if (t3 != 0)
        goto LAB53;

LAB55:
LAB54:    goto LAB3;

LAB5:    xsi_set_current_line(103, ng0);
    t32 = (t0 + 4392U);
    t39 = *((char **)t32);
    t40 = (2 - 1);
    t41 = (t40 - 0);
    t42 = (t41 * 1);
    t43 = (1U * t42);
    t44 = (0 + t43);
    t32 = (t39 + t44);
    t45 = *((unsigned char *)t32);
    t46 = (t0 + 9096);
    t47 = (t46 + 56U);
    t48 = *((char **)t47);
    t49 = (t48 + 56U);
    t50 = *((char **)t49);
    *((unsigned char *)t50) = t45;
    xsi_driver_first_trans_fast(t46);
    goto LAB6;

LAB8:    t4 = (t0 + 4232U);
    t10 = *((char **)t4);
    t11 = (2 - 1);
    t12 = (t11 - 0);
    t13 = (t12 * 1);
    t14 = (1U * t13);
    t15 = (0 + t14);
    t4 = (t10 + t15);
    t16 = *((unsigned char *)t4);
    t17 = (t16 == (unsigned char)3);
    if (t17 == 1)
        goto LAB14;

LAB15:    t9 = (unsigned char)0;

LAB16:    if (t9 == 1)
        goto LAB11;

LAB12:    t18 = (t0 + 4232U);
    t24 = *((char **)t18);
    t25 = (2 - 1);
    t26 = (t25 - 0);
    t27 = (t26 * 1);
    t28 = (1U * t27);
    t29 = (0 + t28);
    t18 = (t24 + t29);
    t30 = *((unsigned char *)t18);
    t31 = (t30 == (unsigned char)3);
    if (t31 == 1)
        goto LAB20;

LAB21:    t23 = (unsigned char)0;

LAB22:    if (t23 == 1)
        goto LAB17;

LAB18:    t22 = (unsigned char)0;

LAB19:    t8 = t22;

LAB13:    t3 = t8;
    goto LAB10;

LAB11:    t8 = (unsigned char)1;
    goto LAB13;

LAB14:    t18 = (t0 + 4552U);
    t19 = *((char **)t18);
    t20 = *((unsigned char *)t19);
    t21 = (t20 == (unsigned char)2);
    t9 = t21;
    goto LAB16;

LAB17:    t32 = (t0 + 4552U);
    t36 = *((char **)t32);
    t37 = *((unsigned char *)t36);
    t38 = (t37 == (unsigned char)3);
    t22 = t38;
    goto LAB19;

LAB20:    t32 = (t0 + 2792U);
    t33 = *((char **)t32);
    t34 = *((unsigned char *)t33);
    t35 = (t34 == (unsigned char)3);
    t23 = t35;
    goto LAB22;

LAB23:    xsi_set_current_line(108, ng0);
    t32 = (t0 + 3592U);
    t33 = *((char **)t32);
    t32 = (t0 + 4072U);
    t36 = *((char **)t32);
    t27 = (0 - 0);
    t28 = (t27 * 1U);
    t29 = (0 + t28);
    t32 = (t36 + t29);
    t46 = ((IEEE_P_2592010699) + 4024);
    t47 = (t0 + 14092U);
    t48 = (t52 + 0U);
    t49 = (t48 + 0U);
    *((int *)t49) = 0;
    t49 = (t48 + 4U);
    *((int *)t49) = 42;
    t49 = (t48 + 8U);
    *((int *)t49) = 1;
    t25 = (42 - 0);
    t42 = (t25 * 1);
    t42 = (t42 + 1);
    t49 = (t48 + 12U);
    *((unsigned int *)t49) = t42;
    t39 = xsi_base_array_concat(t39, t51, t46, (char)97, t33, t47, (char)97, t32, t52, (char)101);
    t42 = (43U + 43U);
    t38 = (86U != t42);
    if (t38 == 1)
        goto LAB41;

LAB42:    t49 = (t0 + 9160);
    t50 = (t49 + 56U);
    t53 = *((char **)t50);
    t54 = (t53 + 56U);
    t55 = *((char **)t54);
    memcpy(t55, t39, 86U);
    xsi_driver_first_trans_fast(t49);
    xsi_set_current_line(109, ng0);
    t1 = (t0 + 1352U);
    t4 = *((char **)t1);
    t2 = *((unsigned char *)t4);
    t1 = (t0 + 1832U);
    t5 = *((char **)t1);
    t3 = *((unsigned char *)t5);
    t6 = ieee_p_2592010699_sub_1605435078_503743352(IEEE_P_2592010699, t2, t3);
    t1 = (t0 + 2312U);
    t10 = *((char **)t1);
    t7 = *((unsigned char *)t10);
    t8 = ieee_p_2592010699_sub_1605435078_503743352(IEEE_P_2592010699, t6, t7);
    t1 = (t0 + 4232U);
    t18 = *((char **)t1);
    t13 = (0 - 0);
    t14 = (t13 * 1U);
    t15 = (0 + t14);
    t1 = (t18 + t15);
    t24 = ((IEEE_P_2592010699) + 4024);
    t32 = (t52 + 0U);
    t33 = (t32 + 0U);
    *((int *)t33) = 0;
    t33 = (t32 + 4U);
    *((int *)t33) = 0;
    t33 = (t32 + 8U);
    *((int *)t33) = 1;
    t11 = (0 - 0);
    t27 = (t11 * 1);
    t27 = (t27 + 1);
    t33 = (t32 + 12U);
    *((unsigned int *)t33) = t27;
    t19 = xsi_base_array_concat(t19, t51, t24, (char)99, t8, (char)97, t1, t52, (char)101);
    t27 = (1U + 1U);
    t9 = (2U != t27);
    if (t9 == 1)
        goto LAB43;

LAB44:    t33 = (t0 + 9224);
    t36 = (t33 + 56U);
    t39 = *((char **)t36);
    t46 = (t39 + 56U);
    t47 = *((char **)t46);
    memcpy(t47, t19, 2U);
    xsi_driver_first_trans_fast(t33);
    xsi_set_current_line(110, ng0);
    t1 = (t0 + 2152U);
    t4 = *((char **)t1);
    t11 = (0 - 0);
    t13 = (t11 * -1);
    t14 = (1U * t13);
    t15 = (0 + t14);
    t1 = (t4 + t15);
    t2 = *((unsigned char *)t1);
    t5 = (t0 + 4392U);
    t10 = *((char **)t5);
    t27 = (0 - 0);
    t28 = (t27 * 1U);
    t29 = (0 + t28);
    t5 = (t10 + t29);
    t19 = ((IEEE_P_2592010699) + 4024);
    t24 = (t52 + 0U);
    t32 = (t24 + 0U);
    *((int *)t32) = 0;
    t32 = (t24 + 4U);
    *((int *)t32) = 0;
    t32 = (t24 + 8U);
    *((int *)t32) = 1;
    t12 = (0 - 0);
    t42 = (t12 * 1);
    t42 = (t42 + 1);
    t32 = (t24 + 12U);
    *((unsigned int *)t32) = t42;
    t18 = xsi_base_array_concat(t18, t51, t19, (char)99, t2, (char)97, t5, t52, (char)101);
    t42 = (1U + 1U);
    t3 = (2U != t42);
    if (t3 == 1)
        goto LAB45;

LAB46:    t32 = (t0 + 9288);
    t33 = (t32 + 56U);
    t36 = *((char **)t33);
    t39 = (t36 + 56U);
    t46 = *((char **)t39);
    memcpy(t46, t18, 2U);
    xsi_driver_first_trans_fast(t32);
    goto LAB24;

LAB26:    t2 = (unsigned char)1;
    goto LAB28;

LAB29:    t3 = (unsigned char)1;
    goto LAB31;

LAB32:    t1 = (t0 + 4552U);
    t5 = *((char **)t1);
    t9 = *((unsigned char *)t5);
    t16 = (t9 == (unsigned char)2);
    t6 = t16;
    goto LAB34;

LAB35:    t1 = (t0 + 2792U);
    t19 = *((char **)t1);
    t31 = *((unsigned char *)t19);
    t34 = (t31 == (unsigned char)3);
    t17 = t34;
    goto LAB37;

LAB38:    t1 = (t0 + 4552U);
    t18 = *((char **)t1);
    t23 = *((unsigned char *)t18);
    t30 = (t23 == (unsigned char)3);
    t20 = t30;
    goto LAB40;

LAB41:    xsi_size_not_matching(86U, t42, 0);
    goto LAB42;

LAB43:    xsi_size_not_matching(2U, t27, 0);
    goto LAB44;

LAB45:    xsi_size_not_matching(2U, t42, 0);
    goto LAB46;

LAB47:    xsi_set_current_line(115, ng0);
    t10 = (t0 + 4072U);
    t18 = *((char **)t10);
    t25 = (2 - 1);
    t26 = (t25 * 43);
    t27 = (t26 - 0);
    t28 = (t27 * 1U);
    t29 = (0 + t28);
    t10 = (t18 + t29);
    t19 = (t52 + 0U);
    t24 = (t19 + 0U);
    *((int *)t24) = 43;
    t24 = (t19 + 4U);
    *((int *)t24) = 85;
    t24 = (t19 + 8U);
    *((int *)t24) = 1;
    t40 = (85 - 43);
    t42 = (t40 * 1);
    t42 = (t42 + 1);
    t24 = (t19 + 12U);
    *((unsigned int *)t24) = t42;
    t24 = (t0 + 3752U);
    t32 = *((char **)t24);
    t24 = (t0 + 14108U);
    t33 = ieee_p_1242562249_sub_3273497107_1035706684(IEEE_P_1242562249, t51, t10, t52, t32, t24);
    t36 = (t0 + 9352);
    t39 = (t36 + 56U);
    t46 = *((char **)t39);
    t47 = (t46 + 56U);
    t48 = *((char **)t47);
    memcpy(t48, t33, 43U);
    xsi_driver_first_trans_fast(t36);
    goto LAB48;

LAB50:    t1 = (t0 + 4232U);
    t5 = *((char **)t1);
    t11 = (2 - 1);
    t12 = (t11 - 0);
    t13 = (t12 * 1);
    t14 = (1U * t13);
    t15 = (0 + t14);
    t1 = (t5 + t15);
    t7 = *((unsigned char *)t1);
    t8 = (t7 == (unsigned char)3);
    t2 = t8;
    goto LAB52;

LAB53:    xsi_set_current_line(122, ng0);
    t1 = (t0 + 4392U);
    t5 = *((char **)t1);
    t11 = (2 - 1);
    t12 = (t11 - 0);
    t13 = (t12 * 1);
    t14 = (1U * t13);
    t15 = (0 + t14);
    t1 = (t5 + t15);
    t6 = *((unsigned char *)t1);
    t10 = (t0 + 4232U);
    t18 = *((char **)t10);
    t25 = (2 - 1);
    t26 = (t25 - 0);
    t27 = (t26 * 1);
    t28 = (1U * t27);
    t29 = (0 + t28);
    t10 = (t18 + t29);
    t7 = *((unsigned char *)t10);
    t8 = ieee_p_2592010699_sub_1605435078_503743352(IEEE_P_2592010699, t6, t7);
    t19 = (t0 + 9416);
    t24 = (t19 + 56U);
    t32 = *((char **)t24);
    t33 = (t32 + 56U);
    t36 = *((char **)t33);
    *((unsigned char *)t36) = t8;
    xsi_driver_first_trans_fast_port(t19);
    goto LAB54;

}