static void microblaze_v8_00_b_a_2256446895_3306564128_p_15(char *t0)
{
    char *t1;
    char *t2;
    char *t3;
    char *t4;
    char *t5;
    char *t6;
    char *t7;
    int t8;
    int t9;
    unsigned int t10;
    unsigned int t11;
    unsigned int t12;
    unsigned char t13;
    unsigned char t14;
    unsigned char t15;
    unsigned char t16;
    int t17;
    unsigned char t18;
    int t19;
    unsigned int t20;
    unsigned int t21;
    unsigned int t22;
    unsigned char t23;
    unsigned char t24;
    char *t25;
    char *t26;
    char *t27;
    char *t28;
    char *t29;

LAB0:    xsi_set_current_line(565, ng0);
    t1 = xsi_get_transient_memory(32U);
    memset(t1, 0, 32U);
    t2 = t1;
    memset(t2, (unsigned char)2, 32U);
    t3 = (t0 + 36264);
    t4 = (t3 + 56U);
    t5 = *((char **)t4);
    t6 = (t5 + 56U);
    t7 = *((char **)t6);
    memcpy(t7, t1, 32U);
    xsi_driver_first_trans_fast(t3);
    xsi_set_current_line(566, ng0);
    t1 = (t0 + 36328);
    t2 = (t1 + 56U);
    t3 = *((char **)t2);
    t4 = (t3 + 56U);
    t5 = *((char **)t4);
    *((unsigned char *)t5) = (unsigned char)0;
    xsi_driver_first_trans_fast(t1);
    xsi_set_current_line(568, ng0);
    t1 = (t0 + 13472U);
    t2 = *((char **)t1);
    t1 = ((MICROBLAZE_V8_00_B_P_1761634766) + 41128U);
    t3 = *((char **)t1);
    t8 = *((int *)t3);
    t9 = (t8 - 27);
    t10 = (t9 * 1);
    t11 = (1U * t10);
    t12 = (0 + t11);
    t1 = (t2 + t12);
    t13 = *((unsigned char *)t1);
    t4 = ((MICROBLAZE_V8_00_B_P_1761634766) + 41248U);
    t5 = *((char **)t4);
    t14 = *((unsigned char *)t5);
    t15 = (t13 == t14);
    if (t15 != 0)
        goto LAB2;

LAB4:
LAB3:    t1 = (t0 + 35224);
    *((int *)t1) = 1;

LAB1:    return;
LAB2:    xsi_set_current_line(569, ng0);
    t4 = ((MICROBLAZE_V8_00_B_P_1761634766) + 2248U);
    t6 = *((char **)t4);
    t17 = *((int *)t6);
    t18 = (0 < t17);
    if (t18 == 1)
        goto LAB8;

LAB9:    t4 = (t0 + 13472U);
    t7 = *((char **)t4);
    t19 = (27 - 27);
    t20 = (t19 * 1);
    xsi_vhdl_check_range_of_index(27, 31, 1, 27);
    t21 = (1U * t20);
    t22 = (0 + t21);
    t4 = (t7 + t22);
    t23 = *((unsigned char *)t4);
    t24 = (t23 == (unsigned char)2);
    t16 = t24;

LAB10:    if (t16 != 0)
        goto LAB5;

LAB7:    xsi_set_current_line(576, ng0);
    t1 = (t0 + 14592U);
    t2 = *((char **)t1);
    t14 = *((unsigned char *)t2);
    if (t14 == 1)
        goto LAB14;

LAB15:    t1 = (t0 + 14432U);
    t3 = *((char **)t1);
    t16 = *((unsigned char *)t3);
    if (t16 == 1)
        goto LAB17;

LAB18:    t15 = (unsigned char)0;

LAB19:    t13 = t15;

LAB16:    if (t13 != 0)
        goto LAB11;

LAB13:
LAB12:    xsi_set_current_line(581, ng0);
    t1 = (t0 + 14432U);
    t2 = *((char **)t1);
    t14 = *((unsigned char *)t2);
    if (t14 == 1)
        goto LAB23;

LAB24:    t13 = (unsigned char)0;

LAB25:    if (t13 != 0)
        goto LAB20;

LAB22:
LAB21:
LAB6:    goto LAB3;

LAB5:    xsi_set_current_line(572, ng0);
    t25 = (t0 + 36264);
    t26 = (t25 + 56U);
    t27 = *((char **)t26);
    t28 = (t27 + 56U);
    t29 = *((char **)t28);
    *((unsigned char *)t29) = (unsigned char)3;
    xsi_driver_first_trans_delta(t25, 29U, 1, 0LL);
    xsi_set_current_line(573, ng0);
    t1 = (t0 + 36328);
    t2 = (t1 + 56U);
    t3 = *((char **)t2);
    t4 = (t3 + 56U);
    t5 = *((char **)t4);
    *((unsigned char *)t5) = (unsigned char)1;
    xsi_driver_first_trans_fast(t1);
    goto LAB6;

LAB8:    t16 = (unsigned char)1;
    goto LAB10;

LAB11:    xsi_set_current_line(579, ng0);
    t1 = (t0 + 36264);
    t5 = (t1 + 56U);
    t6 = *((char **)t5);
    t7 = (t6 + 56U);
    t25 = *((char **)t7);
    *((unsigned char *)t25) = (unsigned char)3;
    xsi_driver_first_trans_delta(t1, 29U, 1, 0LL);
    goto LAB12;

LAB14:    t13 = (unsigned char)1;
    goto LAB16;

LAB17:    t1 = (t0 + 14752U);
    t4 = *((char **)t1);
    t18 = *((unsigned char *)t4);
    t23 = (!(t18));
    t15 = t23;
    goto LAB19;

LAB20:    xsi_set_current_line(583, ng0);
    t1 = (t0 + 36264);
    t4 = (t1 + 56U);
    t5 = *((char **)t4);
    t6 = (t5 + 56U);
    t7 = *((char **)t6);
    *((unsigned char *)t7) = (unsigned char)3;
    xsi_driver_first_trans_delta(t1, 28U, 1, 0LL);
    goto LAB21;

LAB23:    t1 = (t0 + 14752U);
    t3 = *((char **)t1);
    t15 = *((unsigned char *)t3);
    t13 = t15;
    goto LAB25;

}
static void unisim_a_3705309847_3676810390_p_0(char *t0)
{
    char t1[16];
    char t7[16];
    char t12[16];
    char t17[16];
    char *t2;
    char *t3;
    unsigned char t4;
    char *t5;
    unsigned char t6;
    char *t8;
    char *t9;
    char *t10;
    unsigned char t11;
    char *t13;
    char *t14;
    char *t15;
    unsigned char t16;
    char *t18;
    char *t19;
    char *t20;
    char *t21;
    char *t22;
    unsigned int t23;
    unsigned char t24;
    unsigned char t25;
    unsigned char t26;
    unsigned char t27;
    unsigned char t28;
    unsigned char t29;
    unsigned char t30;
    unsigned char t31;
    unsigned char t32;
    unsigned char t33;
    unsigned char t34;
    unsigned char t35;
    unsigned char t36;
    int t37;
    int t38;
    unsigned int t39;
    unsigned int t40;
    unsigned char t41;
    char *t42;
    char *t43;
    char *t44;
    unsigned int t45;
    unsigned int t46;
    unsigned int t47;
    unsigned int t48;
    unsigned int t49;
    unsigned int t50;
    unsigned int t51;
    unsigned int t52;
    unsigned int t53;
    unsigned int t54;
    unsigned int t55;
    unsigned int t56;
    unsigned int t57;
    unsigned int t58;
    unsigned int t59;
    char *t60;
    unsigned int t61;
    unsigned int t62;
    unsigned int t63;
    char *t64;
    char *t65;
    unsigned int t66;
    unsigned int t67;
    unsigned int t68;
    char *t69;
    char *t70;
    char *t71;
    char *t72;
    unsigned int t73;
    unsigned int t74;
    unsigned int t75;
    char *t76;
    char *t77;
    char *t78;
    char *t79;
    char *t80;

LAB0:    t2 = (t0 + 1672U);
    t3 = *((char **)t2);
    t4 = *((unsigned char *)t3);
    t2 = (t0 + 1512U);
    t5 = *((char **)t2);
    t6 = *((unsigned char *)t5);
    t8 = ((IEEE_P_2592010699) + 3912);
    t2 = xsi_base_array_concat(t2, t7, t8, (char)99, t4, (char)99, t6, (char)101);
    t9 = (t0 + 1352U);
    t10 = *((char **)t9);
    t11 = *((unsigned char *)t10);
    t13 = ((IEEE_P_2592010699) + 3912);
    t9 = xsi_base_array_concat(t9, t12, t13, (char)97, t2, t7, (char)99, t11, (char)101);
    t14 = (t0 + 1192U);
    t15 = *((char **)t14);
    t16 = *((unsigned char *)t15);
    t18 = ((IEEE_P_2592010699) + 3912);
    t14 = xsi_base_array_concat(t14, t17, t18, (char)97, t9, t12, (char)99, t16, (char)101);
    t19 = ieee_p_2592010699_sub_3879918230_503743352(IEEE_P_2592010699, t1, t14, t17);
    t20 = (t0 + 2208U);
    t21 = *((char **)t20);
    t20 = (t21 + 0);
    t22 = (t1 + 12U);
    t23 = *((unsigned int *)t22);
    t23 = (t23 * 1U);
    memcpy(t20, t19, t23);
    t2 = (t0 + 1672U);
    t3 = *((char **)t2);
    t6 = *((unsigned char *)t3);
    t2 = (t0 + 1512U);
    t5 = *((char **)t2);
    t11 = *((unsigned char *)t5);
    t16 = ieee_p_2592010699_sub_2507238156_503743352(IEEE_P_2592010699, t6, t11);
    t2 = (t0 + 1352U);
    t8 = *((char **)t2);
    t24 = *((unsigned char *)t8);
    t25 = ieee_p_2592010699_sub_2507238156_503743352(IEEE_P_2592010699, t16, t24);
    t2 = (t0 + 1192U);
    t9 = *((char **)t2);
    t26 = *((unsigned char *)t9);
    t27 = ieee_p_2592010699_sub_2507238156_503743352(IEEE_P_2592010699, t25, t26);
    t28 = (t27 == (unsigned char)3);
    if (t28 == 1)
        goto LAB5;

LAB6:    t2 = (t0 + 1672U);
    t10 = *((char **)t2);
    t29 = *((unsigned char *)t10);
    t2 = (t0 + 1512U);
    t13 = *((char **)t2);
    t30 = *((unsigned char *)t13);
    t31 = ieee_p_2592010699_sub_2507238156_503743352(IEEE_P_2592010699, t29, t30);
    t2 = (t0 + 1352U);
    t14 = *((char **)t2);
    t32 = *((unsigned char *)t14);
    t33 = ieee_p_2592010699_sub_2507238156_503743352(IEEE_P_2592010699, t31, t32);
    t2 = (t0 + 1192U);
    t15 = *((char **)t2);
    t34 = *((unsigned char *)t15);
    t35 = ieee_p_2592010699_sub_2507238156_503743352(IEEE_P_2592010699, t33, t34);
    t36 = (t35 == (unsigned char)2);
    t4 = t36;

LAB7:    if (t4 != 0)
        goto LAB2;

LAB4:    t2 = (t0 + 2088U);
    t3 = *((char **)t2);
    t23 = (15 - 15);
    t39 = (t23 * 1U);
    t40 = (0 + t39);
    t2 = (t3 + t40);
    t5 = (t0 + 2208U);
    t8 = *((char **)t5);
    t45 = (3 - 1);
    t46 = (t45 * 1U);
    t47 = (0 + t46);
    t5 = (t8 + t47);
    t4 = unisim_a_3705309847_3676810390_sub_655425482_336668464(t0, t2, t5);
    t9 = (t0 + 2088U);
    t10 = *((char **)t9);
    t48 = (15 - 11);
    t49 = (t48 * 1U);
    t50 = (0 + t49);
    t9 = (t10 + t50);
    t13 = (t0 + 2208U);
    t14 = *((char **)t13);
    t51 = (3 - 1);
    t52 = (t51 * 1U);
    t53 = (0 + t52);
    t13 = (t14 + t53);
    t6 = unisim_a_3705309847_3676810390_sub_655425482_336668464(t0, t9, t13);
    t18 = ((IEEE_P_2592010699) + 4024);
    t15 = xsi_base_array_concat(t15, t1, t18, (char)99, t4, (char)99, t6, (char)101);
    t19 = (t0 + 2088U);
    t20 = *((char **)t19);
    t54 = (15 - 7);
    t55 = (t54 * 1U);
    t56 = (0 + t55);
    t19 = (t20 + t56);
    t21 = (t0 + 2208U);
    t22 = *((char **)t21);
    t57 = (3 - 1);
    t58 = (t57 * 1U);
    t59 = (0 + t58);
    t21 = (t22 + t59);
    t11 = unisim_a_3705309847_3676810390_sub_655425482_336668464(t0, t19, t21);
    t43 = ((IEEE_P_2592010699) + 4024);
    t42 = xsi_base_array_concat(t42, t7, t43, (char)97, t15, t1, (char)99, t11, (char)101);
    t44 = (t0 + 2088U);
    t60 = *((char **)t44);
    t61 = (15 - 3);
    t62 = (t61 * 1U);
    t63 = (0 + t62);
    t44 = (t60 + t63);
    t64 = (t0 + 2208U);
    t65 = *((char **)t64);
    t66 = (3 - 1);
    t67 = (t66 * 1U);
    t68 = (0 + t67);
    t64 = (t65 + t68);
    t16 = unisim_a_3705309847_3676810390_sub_655425482_336668464(t0, t44, t64);
    t70 = ((IEEE_P_2592010699) + 4024);
    t69 = xsi_base_array_concat(t69, t12, t70, (char)97, t42, t7, (char)99, t16, (char)101);
    t71 = (t0 + 2208U);
    t72 = *((char **)t71);
    t73 = (3 - 3);
    t74 = (t73 * 1U);
    t75 = (0 + t74);
    t71 = (t72 + t75);
    t24 = unisim_a_3705309847_3676810390_sub_655425482_336668464(t0, t69, t71);
    t76 = (t0 + 3592);
    t77 = (t76 + 56U);
    t78 = *((char **)t77);
    t79 = (t78 + 56U);
    t80 = *((char **)t79);
    *((unsigned char *)t80) = t24;
    xsi_driver_first_trans_fast_port(t76);

LAB3:    t2 = (t0 + 3512);
    *((int *)t2) = 1;

LAB1:    return;
LAB2:    t2 = (t0 + 2088U);
    t18 = *((char **)t2);
    t2 = (t0 + 2208U);
    t19 = *((char **)t2);
    t2 = (t0 + 5500U);
    t37 = unisim_p_3222816464_sub_3182959421_279109243(UNISIM_P_3222816464, t19, t2);
    t38 = (t37 - 15);
    t23 = (t38 * -1);
    xsi_vhdl_check_range_of_index(15, 0, -1, t37);
    t39 = (1U * t23);
    t40 = (0 + t39);
    t20 = (t18 + t40);
    t41 = *((unsigned char *)t20);
    t21 = (t0 + 3592);
    t22 = (t21 + 56U);
    t42 = *((char **)t22);
    t43 = (t42 + 56U);
    t44 = *((char **)t43);
    *((unsigned char *)t44) = t41;
    xsi_driver_first_trans_fast_port(t21);
    goto LAB3;

LAB5:    t4 = (unsigned char)1;
    goto LAB7;

}
static void work_a_3412061098_1142133938_p_2(char *t0)
{
    char *t1;
    char *t2;
    unsigned char t3;
    unsigned char t4;
    char *t5;
    char *t6;
    char *t7;
    char *t8;
    char *t9;
    char *t10;
    int t11;
    int t12;
    unsigned int t13;
    unsigned int t14;
    unsigned int t15;
    char *t16;

LAB0:    xsi_set_current_line(58, ng0);
    t1 = (t0 + 1192U);
    t2 = *((char **)t1);
    t3 = *((unsigned char *)t2);
    t4 = (t3 == (unsigned char)3);
    if (t4 != 0)
        goto LAB2;

LAB4:    xsi_set_current_line(61, ng0);
    t1 = (t0 + 2472U);
    t2 = *((char **)t1);
    t1 = (t0 + 1832U);
    t5 = *((char **)t1);
    t1 = (t0 + 7852U);
    t11 = ieee_p_3620187407_sub_514432868_3965413181(IEEE_P_3620187407, t5, t1);
    t12 = (t11 - 0);
    t13 = (t12 * 1);
    xsi_vhdl_check_range_of_index(0, 15, 1, t11);
    t14 = (16U * t13);
    t15 = (0 + t14);
    t6 = (t2 + t15);
    t7 = (t0 + 4688);
    t8 = (t7 + 56U);
    t9 = *((char **)t8);
    t10 = (t9 + 56U);
    t16 = *((char **)t10);
    memcpy(t16, t6, 16U);
    xsi_driver_first_trans_fast_port(t7);

LAB3:    t1 = (t0 + 4480);
    *((int *)t1) = 1;

LAB1:    return;
LAB2:    xsi_set_current_line(59, ng0);
    t1 = (t0 + 8275);
    t6 = (t0 + 4688);
    t7 = (t6 + 56U);
    t8 = *((char **)t7);
    t9 = (t8 + 56U);
    t10 = *((char **)t9);
    memcpy(t10, t1, 16U);
    xsi_driver_first_trans_fast_port(t6);
    goto LAB3;

}
static void work_a_2868348070_3212880686_p_0(char *t0)
{
    char t13[16];
    char *t1;
    unsigned char t2;
    char *t3;
    char *t4;
    unsigned char t5;
    unsigned char t6;
    char *t7;
    char *t8;
    int t9;
    unsigned int t10;
    unsigned int t11;
    unsigned int t12;
    char *t14;
    char *t15;
    int t16;
    unsigned int t17;
    int t18;
    int t19;
    unsigned int t20;
    unsigned int t21;
    char *t22;
    char *t23;
    char *t24;
    char *t25;

LAB0:    xsi_set_current_line(58, ng0);
    t1 = (t0 + 992U);
    t2 = ieee_p_2592010699_sub_1258338084_503743352(IEEE_P_2592010699, t1, 0U, 0U);
    if (t2 != 0)
        goto LAB2;

LAB4:
LAB3:    xsi_set_current_line(72, ng0);
    t1 = (t0 + 2472U);
    t3 = *((char **)t1);
    t1 = (t0 + 2632U);
    t4 = *((char **)t1);
    t9 = (8 - 1);
    t10 = (31 - t9);
    t11 = (t10 * 1U);
    t12 = (0 + t11);
    t1 = (t4 + t12);
    t7 = (t13 + 0U);
    t8 = (t7 + 0U);
    *((int *)t8) = 7;
    t8 = (t7 + 4U);
    *((int *)t8) = 0;
    t8 = (t7 + 8U);
    *((int *)t8) = -1;
    t16 = (0 - 7);
    t17 = (t16 * -1);
    t17 = (t17 + 1);
    t8 = (t7 + 12U);
    *((unsigned int *)t8) = t17;
    t18 = ieee_p_1242562249_sub_1657552908_1035706684(IEEE_P_1242562249, t1, t13);
    t19 = (t18 - 255);
    t17 = (t19 * -1);
    xsi_vhdl_check_range_of_index(255, 0, -1, t18);
    t20 = (32U * t17);
    t21 = (0 + t20);
    t8 = (t3 + t21);
    t14 = (t0 + 4904);
    t15 = (t14 + 56U);
    t22 = *((char **)t15);
    t23 = (t22 + 56U);
    t24 = *((char **)t23);
    memcpy(t24, t8, 32U);
    xsi_driver_first_trans_fast_port(t14);
    xsi_set_current_line(73, ng0);
    t1 = (t0 + 2472U);
    t3 = *((char **)t1);
    t1 = (t0 + 2792U);
    t4 = *((char **)t1);
    t9 = (8 - 1);
    t10 = (31 - t9);
    t11 = (t10 * 1U);
    t12 = (0 + t11);
    t1 = (t4 + t12);
    t7 = (t13 + 0U);
    t8 = (t7 + 0U);
    *((int *)t8) = 7;
    t8 = (t7 + 4U);
    *((int *)t8) = 0;
    t8 = (t7 + 8U);
    *((int *)t8) = -1;
    t16 = (0 - 7);
    t17 = (t16 * -1);
    t17 = (t17 + 1);
    t8 = (t7 + 12U);
    *((unsigned int *)t8) = t17;
    t18 = ieee_p_1242562249_sub_1657552908_1035706684(IEEE_P_1242562249, t1, t13);
    t19 = (t18 - 255);
    t17 = (t19 * -1);
    xsi_vhdl_check_range_of_index(255, 0, -1, t18);
    t20 = (32U * t17);
    t21 = (0 + t20);
    t8 = (t3 + t21);
    t14 = (t0 + 4968);
    t15 = (t14 + 56U);
    t22 = *((char **)t15);
    t23 = (t22 + 56U);
    t24 = *((char **)t23);
    memcpy(t24, t8, 32U);
    xsi_driver_first_trans_fast_port(t14);
    t1 = (t0 + 4632);
    *((int *)t1) = 1;

LAB1:    return;
LAB2:    xsi_set_current_line(65, ng0);
    t3 = (t0 + 1672U);
    t4 = *((char **)t3);
    t5 = *((unsigned char *)t4);
    t6 = (t5 == (unsigned char)3);
    if (t6 != 0)
        goto LAB5;

LAB7:
LAB6:    xsi_set_current_line(68, ng0);
    t1 = (t0 + 1832U);
    t3 = *((char **)t1);
    t1 = (t0 + 4776);
    t4 = (t1 + 56U);
    t7 = *((char **)t4);
    t8 = (t7 + 56U);
    t14 = *((char **)t8);
    memcpy(t14, t3, 32U);
    xsi_driver_first_trans_fast(t1);
    xsi_set_current_line(69, ng0);
    t1 = (t0 + 1992U);
    t3 = *((char **)t1);
    t1 = (t0 + 4840);
    t4 = (t1 + 56U);
    t7 = *((char **)t4);
    t8 = (t7 + 56U);
    t14 = *((char **)t8);
    memcpy(t14, t3, 32U);
    xsi_driver_first_trans_fast(t1);
    goto LAB3;

LAB5:    xsi_set_current_line(66, ng0);
    t3 = (t0 + 1512U);
    t7 = *((char **)t3);
    t3 = (t0 + 1352U);
    t8 = *((char **)t3);
    t9 = (8 - 1);
    t10 = (31 - t9);
    t11 = (t10 * 1U);
    t12 = (0 + t11);
    t3 = (t8 + t12);
    t14 = (t13 + 0U);
    t15 = (t14 + 0U);
    *((int *)t15) = 7;
    t15 = (t14 + 4U);
    *((int *)t15) = 0;
    t15 = (t14 + 8U);
    *((int *)t15) = -1;
    t16 = (0 - 7);
    t17 = (t16 * -1);
    t17 = (t17 + 1);
    t15 = (t14 + 12U);
    *((unsigned int *)t15) = t17;
    t18 = ieee_p_1242562249_sub_1657552908_1035706684(IEEE_P_1242562249, t3, t13);
    t19 = (t18 - 255);
    t17 = (t19 * -1);
    t20 = (32U * t17);
    t21 = (0U + t20);
    t15 = (t0 + 4712);
    t22 = (t15 + 56U);
    t23 = *((char **)t22);
    t24 = (t23 + 56U);
    t25 = *((char **)t24);
    memcpy(t25, t7, 32U);
    xsi_driver_first_trans_delta(t15, t21, 32U, 0LL);
    goto LAB6;

}
static void work_a_4143924007_3212880686_p_1(char *t0)
{
    char *t1;
    char *t2;
    unsigned char t3;
    char *t4;
    char *t5;
    char *t6;
    char *t7;
    unsigned char t8;
    unsigned char t9;
    char *t10;
    char *t11;
    int t12;
    static char *nl0[] = {&&LAB4, &&LAB5, &&LAB6, &&LAB7, &&LAB3, &&LAB8, &&LAB9};

LAB0:    xsi_set_current_line(325, ng0);
    t1 = (t0 + 5032U);
    t2 = *((char **)t1);
    t3 = *((unsigned char *)t2);
    t1 = (t0 + 8448);
    t4 = (t1 + 56U);
    t5 = *((char **)t4);
    t6 = (t5 + 56U);
    t7 = *((char **)t6);
    *((unsigned char *)t7) = t3;
    xsi_driver_first_trans_fast(t1);
    xsi_set_current_line(327, ng0);
    t1 = (t0 + 5032U);
    t2 = *((char **)t1);
    t3 = *((unsigned char *)t2);
    t1 = (char *)((nl0) + t3);
    goto **((char **)t1);

LAB2:    t1 = (t0 + 7904);
    *((int *)t1) = 1;

LAB1:    return;
LAB3:    xsi_set_current_line(330, ng0);
    t4 = (t0 + 1352U);
    t5 = *((char **)t4);
    t8 = *((unsigned char *)t5);
    t9 = (t8 == (unsigned char)2);
    if (t9 != 0)
        goto LAB10;

LAB12:    xsi_set_current_line(333, ng0);
    t1 = (t0 + 8448);
    t2 = (t1 + 56U);
    t4 = *((char **)t2);
    t5 = (t4 + 56U);
    t6 = *((char **)t5);
    *((unsigned char *)t6) = (unsigned char)0;
    xsi_driver_first_trans_fast(t1);

LAB11:    goto LAB2;

LAB4:    xsi_set_current_line(337, ng0);
    t1 = (t0 + 4552U);
    t2 = *((char **)t1);
    t12 = *((int *)t2);
    t3 = (t12 == 15);
    if (t3 != 0)
        goto LAB13;

LAB15:    xsi_set_current_line(340, ng0);
    t1 = (t0 + 8448);
    t2 = (t1 + 56U);
    t4 = *((char **)t2);
    t5 = (t4 + 56U);
    t6 = *((char **)t5);
    *((unsigned char *)t6) = (unsigned char)0;
    xsi_driver_first_trans_fast(t1);

LAB14:    goto LAB2;

LAB5:    xsi_set_current_line(344, ng0);
    t1 = (t0 + 4552U);
    t2 = *((char **)t1);
    t12 = *((int *)t2);
    t3 = (t12 == 31);
    if (t3 != 0)
        goto LAB16;

LAB18:    xsi_set_current_line(347, ng0);
    t1 = (t0 + 8448);
    t2 = (t1 + 56U);
    t4 = *((char **)t2);
    t5 = (t4 + 56U);
    t6 = *((char **)t5);
    *((unsigned char *)t6) = (unsigned char)1;
    xsi_driver_first_trans_fast(t1);

LAB17:    goto LAB2;

LAB6:    xsi_set_current_line(351, ng0);
    t1 = (t0 + 4552U);
    t2 = *((char **)t1);
    t12 = *((int *)t2);
    t3 = (t12 == 47);
    if (t3 != 0)
        goto LAB19;

LAB21:    xsi_set_current_line(354, ng0);
    t1 = (t0 + 8448);
    t2 = (t1 + 56U);
    t4 = *((char **)t2);
    t5 = (t4 + 56U);
    t6 = *((char **)t5);
    *((unsigned char *)t6) = (unsigned char)2;
    xsi_driver_first_trans_fast(t1);

LAB20:    goto LAB2;

LAB7:    xsi_set_current_line(358, ng0);
    t1 = (t0 + 4552U);
    t2 = *((char **)t1);
    t12 = *((int *)t2);
    t3 = (t12 == 63);
    if (t3 != 0)
        goto LAB22;

LAB24:    xsi_set_current_line(361, ng0);
    t1 = (t0 + 8448);
    t2 = (t1 + 56U);
    t4 = *((char **)t2);
    t5 = (t4 + 56U);
    t6 = *((char **)t5);
    *((unsigned char *)t6) = (unsigned char)3;
    xsi_driver_first_trans_fast(t1);

LAB23:    goto LAB2;

LAB8:    xsi_set_current_line(365, ng0);
    t1 = (t0 + 8448);
    t2 = (t1 + 56U);
    t4 = *((char **)t2);
    t5 = (t4 + 56U);
    t6 = *((char **)t5);
    *((unsigned char *)t6) = (unsigned char)4;
    xsi_driver_first_trans_fast(t1);
    goto LAB2;

LAB9:    xsi_set_current_line(368, ng0);
    goto LAB2;

LAB10:    xsi_set_current_line(331, ng0);
    t4 = (t0 + 8448);
    t6 = (t4 + 56U);
    t7 = *((char **)t6);
    t10 = (t7 + 56U);
    t11 = *((char **)t10);
    *((unsigned char *)t11) = (unsigned char)4;
    xsi_driver_first_trans_fast(t4);
    goto LAB11;

LAB13:    xsi_set_current_line(338, ng0);
    t1 = (t0 + 8448);
    t4 = (t1 + 56U);
    t5 = *((char **)t4);
    t6 = (t5 + 56U);
    t7 = *((char **)t6);
    *((unsigned char *)t7) = (unsigned char)1;
    xsi_driver_first_trans_fast(t1);
    goto LAB14;

LAB16:    xsi_set_current_line(345, ng0);
    t1 = (t0 + 8448);
    t4 = (t1 + 56U);
    t5 = *((char **)t4);
    t6 = (t5 + 56U);
    t7 = *((char **)t6);
    *((unsigned char *)t7) = (unsigned char)2;
    xsi_driver_first_trans_fast(t1);
    goto LAB17;

LAB19:    xsi_set_current_line(352, ng0);
    t1 = (t0 + 8448);
    t4 = (t1 + 56U);
    t5 = *((char **)t4);
    t6 = (t5 + 56U);
    t7 = *((char **)t6);
    *((unsigned char *)t7) = (unsigned char)3;
    xsi_driver_first_trans_fast(t1);
    goto LAB20;

LAB22:    xsi_set_current_line(359, ng0);
    t1 = (t0 + 8448);
    t4 = (t1 + 56U);
    t5 = *((char **)t4);
    t6 = (t5 + 56U);
    t7 = *((char **)t6);
    *((unsigned char *)t7) = (unsigned char)5;
    xsi_driver_first_trans_fast(t1);
    goto LAB23;

}

static void work_a_4143924007_3212880686_p_2(char *t0)
{
    char t8[16];
    char t9[16];
    char t10[16];
    char t11[16];
    char t12[16];
    char t18[16];
    char t30[16];
    char t45[16];
    char *t1;
    char *t2;
    char *t3;
    char *t4;
    char *t5;
    char *t6;
    char *t7;
    int t13;
    int t14;
    unsigned int t15;
    unsigned int t16;
    unsigned int t17;
    char *t19;
    char *t20;
    int t21;
    unsigned int t22;
    char *t23;
    char *t24;
    char *t25;
    int t26;
    int t27;
    unsigned int t28;
    unsigned int t29;
    char *t31;
    char *t32;
    int t33;
    unsigned int t34;
    char *t35;
    char *t36;
    char *t37;
    char *t38;
    char *t39;
    char *t40;
    int t41;
    int t42;
    unsigned int t43;
    unsigned int t44;
    char *t46;
    char *t47;
    int t48;
    unsigned int t49;
    int t50;
    char *t51;
    char *t52;
    unsigned int t53;
    unsigned char t54;
    char *t55;
    char *t56;
    char *t57;
    char *t58;
    char *t59;
    static char *nl0[] = {&&LAB9, &&LAB10, &&LAB11, &&LAB12, &&LAB8, &&LAB13, &&LAB14};

LAB0:    xsi_set_current_line(377, ng0);
    t1 = (t0 + 8512);
    t2 = (t1 + 56U);
    t3 = *((char **)t2);
    t4 = (t3 + 56U);
    t5 = *((char **)t4);
    *((unsigned char *)t5) = (unsigned char)2;
    xsi_driver_first_trans_fast_port(t1);
    xsi_set_current_line(378, ng0);
    t1 = xsi_get_transient_memory(32U);
    memset(t1, 0, 32U);
    t2 = t1;
    memset(t2, (unsigned char)2, 32U);
    t3 = (t0 + 8576);
    t4 = (t3 + 56U);
    t5 = *((char **)t4);
    t6 = (t5 + 56U);
    t7 = *((char **)t6);
    memcpy(t7, t1, 32U);
    xsi_driver_first_trans_fast_port(t3);
    xsi_set_current_line(379, ng0);
    t1 = xsi_get_transient_memory(32U);
    memset(t1, 0, 32U);
    t2 = t1;
    memset(t2, (unsigned char)2, 32U);
    t3 = (t0 + 8640);
    t4 = (t3 + 56U);
    t5 = *((char **)t4);
    t6 = (t5 + 56U);
    t7 = *((char **)t6);
    memcpy(t7, t1, 32U);
    xsi_driver_first_trans_fast_port(t3);
    xsi_set_current_line(380, ng0);
    t1 = xsi_get_transient_memory(32U);
    memset(t1, 0, 32U);
    t2 = t1;
    memset(t2, (unsigned char)2, 32U);
    t3 = (t0 + 8704);
    t4 = (t3 + 56U);
    t5 = *((char **)t4);
    t6 = (t5 + 56U);
    t7 = *((char **)t6);
    memcpy(t7, t1, 32U);
    xsi_driver_first_trans_fast_port(t3);
    xsi_set_current_line(381, ng0);
    t1 = xsi_get_transient_memory(32U);
    memset(t1, 0, 32U);
    t2 = t1;
    memset(t2, (unsigned char)2, 32U);
    t3 = (t0 + 8768);
    t4 = (t3 + 56U);
    t5 = *((char **)t4);
    t6 = (t5 + 56U);
    t7 = *((char **)t6);
    memcpy(t7, t1, 32U);
    xsi_driver_first_trans_fast_port(t3);
    xsi_set_current_line(382, ng0);
    t1 = xsi_get_transient_memory(32U);
    memset(t1, 0, 32U);
    t2 = t1;
    memset(t2, (unsigned char)2, 32U);
    t3 = (t0 + 8832);
    t4 = (t3 + 56U);
    t5 = *((char **)t4);
    t6 = (t5 + 56U);
    t7 = *((char **)t6);
    memcpy(t7, t1, 32U);
    xsi_driver_first_trans_fast(t3);
    xsi_set_current_line(383, ng0);
    t1 = (t0 + 8896);
    t2 = (t1 + 56U);
    t3 = *((char **)t2);
    t4 = (t3 + 56U);
    t5 = *((char **)t4);
    *((int *)t5) = 0;
    xsi_driver_first_trans_fast(t1);
    xsi_set_current_line(385, ng0);
    t1 = (t0 + 2792U);
    t2 = *((char **)t1);
    t1 = (t0 + 8960);
    t3 = (t1 + 56U);
    t4 = *((char **)t3);
    t5 = (t4 + 56U);
    t6 = *((char **)t5);
    memcpy(t6, t2, 512U);
    xsi_driver_first_trans_fast(t1);
    xsi_set_current_line(409, ng0);
    t1 = (t0 + 3752U);
    t2 = *((char **)t1);
    t1 = (t0 + 9024);
    t3 = (t1 + 56U);
    t4 = *((char **)t3);
    t5 = (t4 + 56U);
    t6 = *((char **)t5);
    memcpy(t6, t2, 32U);
    xsi_driver_first_trans_fast(t1);
    xsi_set_current_line(410, ng0);
    t1 = (t0 + 3432U);
    t2 = *((char **)t1);
    t1 = (t0 + 9088);
    t3 = (t1 + 56U);
    t4 = *((char **)t3);
    t5 = (t4 + 56U);
    t6 = *((char **)t5);
    memcpy(t6, t2, 32U);
    xsi_driver_first_trans_fast(t1);
    xsi_set_current_line(411, ng0);
    t1 = (t0 + 3432U);
    t2 = *((char **)t1);
    t1 = (t0 + 15204U);
    t3 = (t0 + 3112U);
    t4 = *((char **)t3);
    t3 = (t0 + 15204U);
    t5 = (t0 + 6088U);
    t6 = *((char **)t5);
    t5 = (t0 + 4552U);
    t7 = *((char **)t5);
    t13 = *((int *)t7);
    t14 = (t13 - 63);
    t15 = (t14 * -1);
    xsi_vhdl_check_range_of_index(63, 0, -1, t13);
    t16 = (32U * t15);
    t17 = (0 + t16);
    t5 = (t6 + t17);
    t19 = (t18 + 0U);
    t20 = (t19 + 0U);
    *((int *)t20) = 31;
    t20 = (t19 + 4U);
    *((int *)t20) = 0;
    t20 = (t19 + 8U);
    *((int *)t20) = -1;
    t21 = (0 - 31);
    t22 = (t21 * -1);
    t22 = (t22 + 1);
    t20 = (t19 + 12U);
    *((unsigned int *)t20) = t22;
    t20 = ieee_p_1242562249_sub_1547198987_1035706684(IEEE_P_1242562249, t12, t4, t3, t5, t18);
    t23 = (t0 + 2792U);
    t24 = *((char **)t23);
    t23 = (t0 + 4872U);
    t25 = *((char **)t23);
    t26 = *((int *)t25);
    t27 = (t26 - 15);
    t22 = (t27 * -1);
    xsi_vhdl_check_range_of_index(15, 0, -1, t26);
    t28 = (32U * t22);
    t29 = (0 + t28);
    t23 = (t24 + t29);
    t31 = (t30 + 0U);
    t32 = (t31 + 0U);
    *((int *)t32) = 31;
    t32 = (t31 + 4U);
    *((int *)t32) = 0;
    t32 = (t31 + 8U);
    *((int *)t32) = -1;
    t33 = (0 - 31);
    t34 = (t33 * -1);
    t34 = (t34 + 1);
    t32 = (t31 + 12U);
    *((unsigned int *)t32) = t34;
    t32 = ieee_p_1242562249_sub_1547198987_1035706684(IEEE_P_1242562249, t11, t20, t12, t23, t30);
    t35 = (t0 + 4392U);
    t36 = *((char **)t35);
    t35 = (t0 + 15204U);
    t37 = ieee_p_1242562249_sub_1547198987_1035706684(IEEE_P_1242562249, t10, t32, t11, t36, t35);
    t38 = (t0 + 5968U);
    t39 = *((char **)t38);
    t38 = (t0 + 4552U);
    t40 = *((char **)t38);
    t41 = *((int *)t40);
    t42 = (t41 - 63);
    t34 = (t42 * -1);
    xsi_vhdl_check_range_of_index(63, 0, -1, t41);
    t43 = (8U * t34);
    t44 = (0 + t43);
    t38 = (t39 + t44);
    t46 = (t45 + 0U);
    t47 = (t46 + 0U);
    *((int *)t47) = 7;
    t47 = (t46 + 4U);
    *((int *)t47) = 0;
    t47 = (t46 + 8U);
    *((int *)t47) = -1;
    t48 = (0 - 7);
    t49 = (t48 * -1);
    t49 = (t49 + 1);
    t47 = (t46 + 12U);
    *((unsigned int *)t47) = t49;
    t50 = ieee_p_1242562249_sub_1657552908_1035706684(IEEE_P_1242562249, t38, t45);
    t47 = ieee_p_1242562249_sub_4109847776_1035706684(IEEE_P_1242562249, t9, t37, t10, t50);
    t51 = ieee_p_1242562249_sub_1547198987_1035706684(IEEE_P_1242562249, t8, t2, t1, t47, t9);
    t52 = (t8 + 12U);
    t49 = *((unsigned int *)t52);
    t53 = (1U * t49);
    t54 = (32U != t53);
    if (t54 == 1)
        goto LAB2;

LAB3:    t55 = (t0 + 9152);
    t56 = (t55 + 56U);
    t57 = *((char **)t56);
    t58 = (t57 + 56U);
    t59 = *((char **)t58);
    memcpy(t59, t51, 32U);
    xsi_driver_first_trans_fast(t55);
    xsi_set_current_line(413, ng0);
    t1 = (t0 + 4072U);
    t2 = *((char **)t1);
    t1 = (t0 + 9216);
    t3 = (t1 + 56U);
    t4 = *((char **)t3);
    t5 = (t4 + 56U);
    t6 = *((char **)t5);
    memcpy(t6, t2, 32U);
    xsi_driver_first_trans_fast(t1);
    xsi_set_current_line(414, ng0);
    t1 = (t0 + 4552U);
    t2 = *((char **)t1);
    t13 = *((int *)t2);
    t54 = (t13 < 63);
    if (t54 != 0)
        goto LAB4;

LAB6:    xsi_set_current_line(417, ng0);
    t1 = (t0 + 4552U);
    t2 = *((char **)t1);
    t13 = *((int *)t2);
    t1 = (t0 + 9280);
    t3 = (t1 + 56U);
    t4 = *((char **)t3);
    t5 = (t4 + 56U);
    t6 = *((char **)t5);
    *((int *)t6) = t13;
    xsi_driver_first_trans_fast(t1);

LAB5:    xsi_set_current_line(420, ng0);
    t1 = (t0 + 5032U);
    t2 = *((char **)t1);
    t54 = *((unsigned char *)t2);
    t1 = (char *)((nl0) + t54);
    goto **((char **)t1);

LAB2:    xsi_size_not_matching(32U, t53, 0);
    goto LAB3;

LAB4:    xsi_set_current_line(415, ng0);
    t1 = (t0 + 4552U);
    t3 = *((char **)t1);
    t14 = *((int *)t3);
    t21 = (t14 + 1);
    t1 = (t0 + 9280);
    t4 = (t1 + 56U);
    t5 = *((char **)t4);
    t6 = (t5 + 56U);
    t7 = *((char **)t6);
    *((int *)t7) = t21;
    xsi_driver_first_trans_fast(t1);
    goto LAB5;

LAB7:    t1 = (t0 + 7920);
    *((int *)t1) = 1;

LAB1:    return;
LAB8:    xsi_set_current_line(423, ng0);
    t3 = (t0 + 9280);
    t4 = (t3 + 56U);
    t5 = *((char **)t4);
    t6 = (t5 + 56U);
    t7 = *((char **)t6);
    *((int *)t7) = 0;
    xsi_driver_first_trans_fast(t3);
    xsi_set_current_line(424, ng0);
    t1 = (t0 + 5488U);
    t2 = *((char **)t1);
    t1 = (t0 + 9216);
    t3 = (t1 + 56U);
    t4 = *((char **)t3);
    t5 = (t4 + 56U);
    t6 = *((char **)t5);
    memcpy(t6, t2, 32U);
    xsi_driver_first_trans_fast(t1);
    xsi_set_current_line(425, ng0);
    t1 = (t0 + 5608U);
    t2 = *((char **)t1);
    t1 = (t0 + 9152);
    t3 = (t1 + 56U);
    t4 = *((char **)t3);
    t5 = (t4 + 56U);
    t6 = *((char **)t5);
    memcpy(t6, t2, 32U);
    xsi_driver_first_trans_fast(t1);
    xsi_set_current_line(426, ng0);
    t1 = (t0 + 5728U);
    t2 = *((char **)t1);
    t1 = (t0 + 9088);
    t3 = (t1 + 56U);
    t4 = *((char **)t3);
    t5 = (t4 + 56U);
    t6 = *((char **)t5);
    memcpy(t6, t2, 32U);
    xsi_driver_first_trans_fast(t1);
    xsi_set_current_line(427, ng0);
    t1 = (t0 + 5848U);
    t2 = *((char **)t1);
    t1 = (t0 + 9024);
    t3 = (t1 + 56U);
    t4 = *((char **)t3);
    t5 = (t4 + 56U);
    t6 = *((char **)t5);
    memcpy(t6, t2, 32U);
    xsi_driver_first_trans_fast(t1);
    xsi_set_current_line(429, ng0);
    t1 = (t0 + 1512U);
    t2 = *((char **)t1);
    t1 = (t0 + 8960);
    t3 = (t1 + 56U);
    t4 = *((char **)t3);
    t5 = (t4 + 56U);
    t6 = *((char **)t5);
    memcpy(t6, t2, 32U);
    xsi_driver_first_trans_delta(t1, 480U, 32U, 0LL);
    xsi_set_current_line(430, ng0);
    t1 = (t0 + 1672U);
    t2 = *((char **)t1);
    t1 = (t0 + 8960);
    t3 = (t1 + 56U);
    t4 = *((char **)t3);
    t5 = (t4 + 56U);
    t6 = *((char **)t5);
    memcpy(t6, t2, 32U);
    xsi_driver_first_trans_delta(t1, 448U, 32U, 0LL);
    xsi_set_current_line(431, ng0);
    t1 = (t0 + 19414);
    t3 = (t0 + 1832U);
    t4 = *((char **)t3);
    t5 = ((IEEE_P_2592010699) + 4024);
    t6 = (t9 + 0U);
    t7 = (t6 + 0U);
    *((int *)t7) = 0;
    t7 = (t6 + 4U);
    *((int *)t7) = 23;
    t7 = (t6 + 8U);
    *((int *)t7) = 1;
    t13 = (23 - 0);
    t15 = (t13 * 1);
    t15 = (t15 + 1);
    t7 = (t6 + 12U);
    *((unsigned int *)t7) = t15;
    t7 = (t0 + 15028U);
    t3 = xsi_base_array_concat(t3, t8, t5, (char)97, t1, t9, (char)97, t4, t7, (char)101);
    t19 = (t0 + 8960);
    t20 = (t19 + 56U);
    t23 = *((char **)t20);
    t24 = (t23 + 56U);
    t25 = *((char **)t24);
    memcpy(t25, t3, 32U);
    xsi_driver_first_trans_delta(t19, 32U, 32U, 0LL);
    goto LAB7;

LAB9:    xsi_set_current_line(433, ng0);
    t1 = (t0 + 3432U);
    t2 = *((char **)t1);
    t1 = (t0 + 15204U);
    t3 = (t0 + 3752U);
    t4 = *((char **)t3);
    t3 = (t0 + 15204U);
    t5 = ieee_p_1242562249_sub_1854260743_1035706684(IEEE_P_1242562249, t9, t2, t1, t4, t3);
    t6 = (t0 + 3432U);
    t7 = *((char **)t6);
    t6 = (t0 + 15204U);
    t19 = ieee_p_1242562249_sub_2892387531_1035706684(IEEE_P_1242562249, t11, t7, t6);
    t20 = (t0 + 4072U);
    t23 = *((char **)t20);
    t20 = (t0 + 15204U);
    t24 = ieee_p_1242562249_sub_1854260743_1035706684(IEEE_P_1242562249, t10, t19, t11, t23, t20);
    t25 = ieee_p_1242562249_sub_2794316277_1035706684(IEEE_P_1242562249, t8, t5, t9, t24, t10);
    t31 = (t8 + 12U);
    t15 = *((unsigned int *)t31);
    t16 = (1U * t15);
    t54 = (32U != t16);
    if (t54 == 1)
        goto LAB15;

LAB16:    t32 = (t0 + 8832);
    t35 = (t32 + 56U);
    t36 = *((char **)t35);
    t37 = (t36 + 56U);
    t38 = *((char **)t37);
    memcpy(t38, t25, 32U);
    xsi_driver_first_trans_fast(t32);
    xsi_set_current_line(434, ng0);
    t1 = (t0 + 4552U);
    t2 = *((char **)t1);
    t13 = *((int *)t2);
    t1 = (t0 + 8896);
    t3 = (t1 + 56U);
    t4 = *((char **)t3);
    t5 = (t4 + 56U);
    t6 = *((char **)t5);
    *((int *)t6) = t13;
    xsi_driver_first_trans_fast(t1);
    goto LAB7;

LAB10:    xsi_set_current_line(436, ng0);
    t1 = (t0 + 4072U);
    t2 = *((char **)t1);
    t1 = (t0 + 15204U);
    t3 = (t0 + 3432U);
    t4 = *((char **)t3);
    t3 = (t0 + 15204U);
    t5 = ieee_p_1242562249_sub_1854260743_1035706684(IEEE_P_1242562249, t9, t2, t1, t4, t3);
    t6 = (t0 + 4072U);
    t7 = *((char **)t6);
    t6 = (t0 + 15204U);
    t19 = ieee_p_1242562249_sub_2892387531_1035706684(IEEE_P_1242562249, t11, t7, t6);
    t20 = (t0 + 3752U);
    t23 = *((char **)t20);
    t20 = (t0 + 15204U);
    t24 = ieee_p_1242562249_sub_1854260743_1035706684(IEEE_P_1242562249, t10, t19, t11, t23, t20);
    t25 = ieee_p_1242562249_sub_2794316277_1035706684(IEEE_P_1242562249, t8, t5, t9, t24, t10);
    t31 = (t8 + 12U);
    t15 = *((unsigned int *)t31);
    t16 = (1U * t15);
    t54 = (32U != t16);
    if (t54 == 1)
        goto LAB17;

LAB18:    t32 = (t0 + 8832);
    t35 = (t32 + 56U);
    t36 = *((char **)t35);
    t37 = (t36 + 56U);
    t38 = *((char **)t37);
    memcpy(t38, t25, 32U);
    xsi_driver_first_trans_fast(t32);
    xsi_set_current_line(437, ng0);
    t1 = (t0 + 4552U);
    t2 = *((char **)t1);
    t13 = *((int *)t2);
    t14 = (5 * t13);
    t21 = (t14 + 1);
    t26 = xsi_vhdl_mod(t21, 16);
    t1 = (t0 + 8896);
    t3 = (t1 + 56U);
    t4 = *((char **)t3);
    t5 = (t4 + 56U);
    t6 = *((char **)t5);
    *((int *)t6) = t26;
    xsi_driver_first_trans_fast(t1);
    goto LAB7;

LAB11:    xsi_set_current_line(439, ng0);
    t1 = (t0 + 3432U);
    t2 = *((char **)t1);
    t1 = (t0 + 15204U);
    t3 = (t0 + 3752U);
    t4 = *((char **)t3);
    t3 = (t0 + 15204U);
    t5 = ieee_p_1242562249_sub_2756063821_1035706684(IEEE_P_1242562249, t9, t2, t1, t4, t3);
    t6 = (t0 + 4072U);
    t7 = *((char **)t6);
    t6 = (t0 + 15204U);
    t19 = ieee_p_1242562249_sub_2756063821_1035706684(IEEE_P_1242562249, t8, t5, t9, t7, t6);
    t20 = (t8 + 12U);
    t15 = *((unsigned int *)t20);
    t16 = (1U * t15);
    t54 = (32U != t16);
    if (t54 == 1)
        goto LAB19;

LAB20:    t23 = (t0 + 8832);
    t24 = (t23 + 56U);
    t25 = *((char **)t24);
    t31 = (t25 + 56U);
    t32 = *((char **)t31);
    memcpy(t32, t19, 32U);
    xsi_driver_first_trans_fast(t23);
    xsi_set_current_line(440, ng0);
    t1 = (t0 + 4552U);
    t2 = *((char **)t1);
    t13 = *((int *)t2);
    t14 = (3 * t13);
    t21 = (t14 + 5);
    t26 = xsi_vhdl_mod(t21, 16);
    t1 = (t0 + 8896);
    t3 = (t1 + 56U);
    t4 = *((char **)t3);
    t5 = (t4 + 56U);
    t6 = *((char **)t5);
    *((int *)t6) = t26;
    xsi_driver_first_trans_fast(t1);
    goto LAB7;

LAB12:    xsi_set_current_line(442, ng0);
    t1 = (t0 + 3752U);
    t2 = *((char **)t1);
    t1 = (t0 + 15204U);
    t3 = (t0 + 3432U);
    t4 = *((char **)t3);
    t3 = (t0 + 15204U);
    t5 = (t0 + 4072U);
    t6 = *((char **)t5);
    t5 = (t0 + 15204U);
    t7 = ieee_p_1242562249_sub_2892387531_1035706684(IEEE_P_1242562249, t10, t6, t5);
    t19 = ieee_p_1242562249_sub_2794316277_1035706684(IEEE_P_1242562249, t9, t4, t3, t7, t10);
    t20 = ieee_p_1242562249_sub_2756063821_1035706684(IEEE_P_1242562249, t8, t2, t1, t19, t9);
    t23 = (t8 + 12U);
    t15 = *((unsigned int *)t23);
    t16 = (1U * t15);
    t54 = (32U != t16);
    if (t54 == 1)
        goto LAB21;

LAB22:    t24 = (t0 + 8832);
    t25 = (t24 + 56U);
    t31 = *((char **)t25);
    t32 = (t31 + 56U);
    t35 = *((char **)t32);
    memcpy(t35, t20, 32U);
    xsi_driver_first_trans_fast(t24);
    xsi_set_current_line(443, ng0);
    t1 = (t0 + 4552U);
    t2 = *((char **)t1);
    t13 = *((int *)t2);
    t14 = (7 * t13);
    t21 = xsi_vhdl_mod(t14, 16);
    t1 = (t0 + 8896);
    t3 = (t1 + 56U);
    t4 = *((char **)t3);
    t5 = (t4 + 56U);
    t6 = *((char **)t5);
    *((int *)t6) = t21;
    xsi_driver_first_trans_fast(t1);
    goto LAB7;

LAB13:    xsi_set_current_line(445, ng0);
    t1 = (t0 + 8512);
    t2 = (t1 + 56U);
    t3 = *((char **)t2);
    t4 = (t3 + 56U);
    t5 = *((char **)t4);
    *((unsigned char *)t5) = (unsigned char)3;
    xsi_driver_first_trans_fast_port(t1);
    xsi_set_current_line(446, ng0);
    t1 = (t0 + 5488U);
    t2 = *((char **)t1);
    t1 = (t0 + 15108U);
    t3 = (t0 + 3112U);
    t4 = *((char **)t3);
    t3 = (t0 + 15204U);
    t5 = ieee_p_1242562249_sub_1547198987_1035706684(IEEE_P_1242562249, t8, t2, t1, t4, t3);
    t6 = (t8 + 12U);
    t15 = *((unsigned int *)t6);
    t16 = (1U * t15);
    t54 = (32U != t16);
    if (t54 == 1)
        goto LAB23;

LAB24:    t7 = (t0 + 8576);
    t19 = (t7 + 56U);
    t20 = *((char **)t19);
    t23 = (t20 + 56U);
    t24 = *((char **)t23);
    memcpy(t24, t5, 32U);
    xsi_driver_first_trans_fast_port(t7);
    xsi_set_current_line(447, ng0);
    t1 = (t0 + 5608U);
    t2 = *((char **)t1);
    t1 = (t0 + 15124U);
    t3 = (t0 + 3432U);
    t4 = *((char **)t3);
    t3 = (t0 + 15204U);
    t5 = ieee_p_1242562249_sub_1547198987_1035706684(IEEE_P_1242562249, t8, t2, t1, t4, t3);
    t6 = (t8 + 12U);
    t15 = *((unsigned int *)t6);
    t16 = (1U * t15);
    t54 = (32U != t16);
    if (t54 == 1)
        goto LAB25;

LAB26:    t7 = (t0 + 8640);
    t19 = (t7 + 56U);
    t20 = *((char **)t19);
    t23 = (t20 + 56U);
    t24 = *((char **)t23);
    memcpy(t24, t5, 32U);
    xsi_driver_first_trans_fast_port(t7);
    xsi_set_current_line(448, ng0);
    t1 = (t0 + 5728U);
    t2 = *((char **)t1);
    t1 = (t0 + 15140U);
    t3 = (t0 + 3752U);
    t4 = *((char **)t3);
    t3 = (t0 + 15204U);
    t5 = ieee_p_1242562249_sub_1547198987_1035706684(IEEE_P_1242562249, t8, t2, t1, t4, t3);
    t6 = (t8 + 12U);
    t15 = *((unsigned int *)t6);
    t16 = (1U * t15);
    t54 = (32U != t16);
    if (t54 == 1)
        goto LAB27;

LAB28:    t7 = (t0 + 8704);
    t19 = (t7 + 56U);
    t20 = *((char **)t19);
    t23 = (t20 + 56U);
    t24 = *((char **)t23);
    memcpy(t24, t5, 32U);
    xsi_driver_first_trans_fast_port(t7);
    xsi_set_current_line(449, ng0);
    t1 = (t0 + 5848U);
    t2 = *((char **)t1);
    t1 = (t0 + 15156U);
    t3 = (t0 + 4072U);
    t4 = *((char **)t3);
    t3 = (t0 + 15204U);
    t5 = ieee_p_1242562249_sub_1547198987_1035706684(IEEE_P_1242562249, t8, t2, t1, t4, t3);
    t6 = (t8 + 12U);
    t15 = *((unsigned int *)t6);
    t16 = (1U * t15);
    t54 = (32U != t16);
    if (t54 == 1)
        goto LAB29;

LAB30:    t7 = (t0 + 8768);
    t19 = (t7 + 56U);
    t20 = *((char **)t19);
    t23 = (t20 + 56U);
    t24 = *((char **)t23);
    memcpy(t24, t5, 32U);
    xsi_driver_first_trans_fast_port(t7);
    goto LAB7;

LAB14:    xsi_set_current_line(450, ng0);
    goto LAB7;

LAB15:    xsi_size_not_matching(32U, t16, 0);
    goto LAB16;

LAB17:    xsi_size_not_matching(32U, t16, 0);
    goto LAB18;

LAB19:    xsi_size_not_matching(32U, t16, 0);
    goto LAB20;

LAB21:    xsi_size_not_matching(32U, t16, 0);
    goto LAB22;

LAB23:    xsi_size_not_matching(32U, t16, 0);
    goto LAB24;

LAB25:    xsi_size_not_matching(32U, t16, 0);
    goto LAB26;

LAB27:    xsi_size_not_matching(32U, t16, 0);
    goto LAB28;

LAB29:    xsi_size_not_matching(32U, t16, 0);
    goto LAB30;

}


extern void work_a_4143924007_3212880686_init()
{
	static char *pe[] = {(void *)work_a_4143924007_3212880686_p_0,(void *)work_a_4143924007_3212880686_p_1,(void *)work_a_4143924007_3212880686_p_2};
	xsi_register_didat("work_a_4143924007_3212880686", "isim/tb_brutus_dual_isim_beh.exe.sim/work/a_4143924007_3212880686.didat");
	xsi_register_executes(pe);
}
char *axi_lite_ipif_v1_01_a_a_1696492163_3306564128_sub_2615046375_229454594(char *t1, char *t2, char *t3, int t4)
{
    char t5[128];
    char t6[24];
    char t7[32];
    char t16[16];
    char *t0;
    char *t8;
    char *t9;
    int t10;
    unsigned int t11;
    char *t12;
    int t13;
    int t14;
    int t15;
    int t17;
    char *t18;
    int t19;
    unsigned int t20;
    char *t21;
    char *t22;
    char *t23;
    char *t24;
    char *t25;
    char *t26;
    char *t27;
    unsigned char t28;
    char *t29;
    char *t30;
    char *t31;
    char *t32;
    int t33;
    int t34;
    unsigned char t35;
    char *t36;
    char *t38;
    char *t39;
    int t40;
    int t41;
    unsigned int t42;
    unsigned int t43;
    unsigned int t44;
    char *t45;
    char *t46;
    char *t47;
    int t48;
    int t49;
    unsigned int t50;
    unsigned int t51;

LAB0:    t8 = (t7 + 0U);
    t9 = (t8 + 0U);
    *((int *)t9) = 0;
    t9 = (t8 + 4U);
    *((int *)t9) = 1;
    t9 = (t8 + 8U);
    *((int *)t9) = 1;
    t10 = (1 - 0);
    t11 = (t10 * 1);
    t11 = (t11 + 1);
    t9 = (t8 + 12U);
    *((unsigned int *)t9) = t11;
    t9 = (t7 + 16U);
    t12 = (t9 + 0U);
    *((int *)t12) = 0;
    t12 = (t9 + 4U);
    *((int *)t12) = 8;
    t12 = (t9 + 8U);
    *((int *)t12) = 1;
    t13 = (8 - 0);
    t11 = (t13 * 1);
    t11 = (t11 + 1);
    t12 = (t9 + 12U);
    *((unsigned int *)t12) = t11;
    t14 = (9 - 1);
    t15 = (t14 - 0);
    t11 = (t15 * 1);
    t11 = (t11 + 1);
    t11 = (t11 * 1U);
    t17 = (9 - 1);
    t12 = (t16 + 0U);
    t18 = (t12 + 0U);
    *((int *)t18) = 0;
    t18 = (t12 + 4U);
    *((int *)t18) = t17;
    t18 = (t12 + 8U);
    *((int *)t18) = 1;
    t19 = (t17 - 0);
    t20 = (t19 * 1);
    t20 = (t20 + 1);
    t18 = (t12 + 12U);
    *((unsigned int *)t18) = t20;
    t18 = (t5 + 4U);
    t21 = ((IEEE_P_2592010699) + 4024);
    t22 = (t18 + 88U);
    *((char **)t22) = t21;
    t23 = (char *)alloca(t11);
    t24 = (t18 + 56U);
    *((char **)t24) = t23;
    xsi_type_set_default_value(t21, t23, t16);
    t25 = (t18 + 64U);
    *((char **)t25) = t16;
    t26 = (t18 + 80U);
    *((unsigned int *)t26) = t11;
    t27 = (t6 + 4U);
    t28 = (t3 != 0);
    if (t28 == 1)
        goto LAB3;

LAB2:    t29 = (t6 + 12U);
    *((char **)t29) = t7;
    t30 = (t6 + 20U);
    *((int *)t30) = t4;
    t31 = (t1 + 27360U);
    t32 = (t31 + 12U);
    t20 = *((unsigned int *)t32);
    t33 = (t20 / 2);
    t34 = (t33 - 1);
    t35 = (t4 == t34);
    if (t35 != 0)
        goto LAB4;

LAB6:    t10 = (t4 * 2);
    t13 = (t10 + 2);
    t14 = (t13 - 0);
    t11 = (t14 * 1);
    xsi_vhdl_check_range_of_index(0, 1, 1, t13);
    t15 = (9 - 1);
    t17 = (t15 - 0);
    t20 = (t17 * 1);
    t20 = (t20 + 1);
    t20 = (t20 * 1U);
    t42 = (t20 * t11);
    t43 = (0 + t42);
    t8 = (t3 + t43);
    t9 = (t18 + 56U);
    t12 = *((char **)t9);
    t9 = (t12 + 0);
    t19 = (9 - 1);
    t33 = (t19 - 0);
    t44 = (t33 * 1);
    t44 = (t44 + 1);
    t44 = (t44 * 1U);
    memcpy(t9, t8, t44);

LAB5:    t8 = (t18 + 56U);
    t9 = *((char **)t8);
    t8 = (t16 + 12U);
    t11 = *((unsigned int *)t8);
    t11 = (t11 * 1U);
    t0 = xsi_get_transient_memory(t11);
    memcpy(t0, t9, t11);
    t12 = (t16 + 0U);
    t10 = *((int *)t12);
    t21 = (t16 + 4U);
    t13 = *((int *)t21);
    t22 = (t16 + 8U);
    t14 = *((int *)t22);
    t24 = (t2 + 0U);
    t25 = (t24 + 0U);
    *((int *)t25) = t10;
    t25 = (t24 + 4U);
    *((int *)t25) = t13;
    t25 = (t24 + 8U);
    *((int *)t25) = t14;
    t15 = (t13 - t10);
    t20 = (t15 * t14);
    t20 = (t20 + 1);
    t25 = (t24 + 12U);
    *((unsigned int *)t25) = t20;

LAB1:    return t0;
LAB3:    *((char **)t27) = t3;
    goto LAB2;

LAB4:    t36 = (t1 + 28096);
    t38 = (t1 + 27344U);
    t39 = (t38 + 0U);
    t40 = *((int *)t39);
    t41 = (32 - 9);
    t42 = (t41 - t40);
    t43 = (t42 * 1U);
    t44 = (0 + t43);
    t45 = (t36 + t44);
    t46 = (t18 + 56U);
    t47 = *((char **)t46);
    t46 = (t47 + 0);
    t48 = (32 - 9);
    t49 = (31 - t48);
    t50 = (t49 * 1);
    t50 = (t50 + 1);
    t51 = (1U * t50);
    memcpy(t46, t45, t51);
    goto LAB5;

LAB7:;
}
static void proc_common_v3_00_a_a_4130876295_3212880686_p_1(char *t0)
{
    char *t1;
    char *t2;
    int t3;
    unsigned char t4;
    char *t5;
    char *t6;
    char *t7;
    int t8;
    int t9;
    unsigned int t10;
    unsigned int t11;
    unsigned int t12;
    char *t13;
    char *t14;
    char *t15;
    char *t16;
    char *t17;
    char *t18;
    char *t19;
    char *t20;
    char *t21;
    char *t22;
    char *t23;
    char *t24;
    char *t25;
    char *t26;

LAB0:    xsi_set_current_line(367, ng2);
    t1 = (t0 + 1616U);
    t2 = *((char **)t1);
    t1 = (t0 + 8864U);
    t3 = ieee_p_1242562249_sub_17802405650254020620_1035706684(IEEE_P_1242562249, t2, t1);
    t4 = (t3 < 16);
    if (t4 != 0)
        goto LAB3;

LAB4:
LAB5:    t19 = xsi_get_transient_memory(8U);
    memset(t19, 0, 8U);
    t20 = t19;
    memset(t20, (unsigned char)8, 8U);
    t21 = (t0 + 4952);
    t22 = (t21 + 56U);
    t23 = *((char **)t22);
    t24 = (t23 + 56U);
    t25 = *((char **)t24);
    memcpy(t25, t19, 8U);
    xsi_driver_first_trans_fast_port(t21);

LAB2:    t26 = (t0 + 4808);
    *((int *)t26) = 1;

LAB1:    return;
LAB3:    t5 = (t0 + 2096U);
    t6 = *((char **)t5);
    t5 = (t0 + 1616U);
    t7 = *((char **)t5);
    t5 = (t0 + 8864U);
    t8 = ieee_p_1242562249_sub_17802405650254020620_1035706684(IEEE_P_1242562249, t7, t5);
    t9 = (t8 - 0);
    t10 = (t9 * 1);
    xsi_vhdl_check_range_of_index(0, 15, 1, t8);
    t11 = (8U * t10);
    t12 = (0 + t11);
    t13 = (t6 + t12);
    t14 = (t0 + 4952);
    t15 = (t14 + 56U);
    t16 = *((char **)t15);
    t17 = (t16 + 56U);
    t18 = *((char **)t17);
    memcpy(t18, t13, 8U);
    xsi_driver_first_trans_fast_port(t14);
    goto LAB2;

LAB6:    goto LAB2;

}
static void simprim_a_1385652478_1883126775_p_2(char *t0)
{
    char t6[16];
    char t66[16];
    char t70[16];
    char *t1;
    char *t2;
    unsigned char t3;
    char *t4;
    unsigned char t5;
    char *t7;
    char *t8;
    char *t9;
    unsigned int t10;
    unsigned int t11;
    unsigned int t12;
    int t13;
    unsigned int t14;
    int t15;
    unsigned char t16;
    unsigned char t17;
    unsigned char t18;
    unsigned char t19;
    unsigned char t20;
    unsigned char t21;
    unsigned char t22;
    char *t23;
    unsigned char t24;
    char *t25;
    char *t26;
    unsigned int t27;
    unsigned int t28;
    int t29;
    unsigned int t30;
    unsigned int t31;
    unsigned int t32;
    int t33;
    unsigned int t34;
    unsigned int t35;
    unsigned int t36;
    char *t37;
    int t38;
    unsigned int t39;
    unsigned int t40;
    unsigned int t41;
    char *t42;
    char *t43;
    int t44;
    unsigned int t45;
    unsigned int t46;
    unsigned int t47;
    unsigned char t48;
    char *t49;
    char *t50;
    int t51;
    unsigned int t52;
    unsigned int t53;
    unsigned int t54;
    unsigned char t55;
    char *t56;
    char *t57;
    int64 t58;
    char *t59;
    char *t60;
    char *t61;
    int64 t62;
    char *t63;
    char *t64;
    char *t65;
    char *t67;
    char *t68;
    char *t69;
    char *t71;
    char *t72;

LAB0:    t1 = (t0 + 1936U);
    t2 = *((char **)t1);
    t3 = *((unsigned char *)t2);
    t1 = (t0 + 1776U);
    t4 = *((char **)t1);
    t5 = *((unsigned char *)t4);
    t7 = ((IEEE_P_2592010699) + 4024);
    t1 = xsi_base_array_concat(t1, t6, t7, (char)99, t3, (char)99, t5, (char)101);
    t8 = (t0 + 3432U);
    t9 = *((char **)t8);
    t8 = (t9 + 0);
    t10 = (1U + 1U);
    memcpy(t8, t1, t10);
    t1 = (t0 + 3432U);
    t2 = *((char **)t1);
    t10 = (1 - 1);
    t11 = (t10 * 1U);
    t12 = (0 + t11);
    t1 = (t2 + t12);
    t4 = (t6 + 0U);
    t7 = (t4 + 0U);
    *((int *)t7) = 1;
    t7 = (t4 + 4U);
    *((int *)t7) = 0;
    t7 = (t4 + 8U);
    *((int *)t7) = -1;
    t13 = (0 - 1);
    t14 = (t13 * -1);
    t14 = (t14 + 1);
    t7 = (t4 + 12U);
    *((unsigned int *)t7) = t14;
    t15 = simprim_p_4208868169_sub_3182959421_3008368149(SIMPRIM_P_4208868169, t1, t6);
    t7 = (t0 + 3552U);
    t8 = *((char **)t7);
    t7 = (t8 + 0);
    *((int *)t7) = t15;
    t1 = (t0 + 1936U);
    t2 = *((char **)t1);
    t5 = *((unsigned char *)t2);
    t1 = (t0 + 1776U);
    t4 = *((char **)t1);
    t16 = *((unsigned char *)t4);
    t17 = ieee_p_2592010699_sub_2507238156_503743352(IEEE_P_2592010699, t5, t16);
    t18 = (t17 == (unsigned char)3);
    if (t18 == 1)
        goto LAB5;

LAB6:    t1 = (t0 + 1936U);
    t7 = *((char **)t1);
    t19 = *((unsigned char *)t7);
    t1 = (t0 + 1776U);
    t8 = *((char **)t1);
    t20 = *((unsigned char *)t8);
    t21 = ieee_p_2592010699_sub_2507238156_503743352(IEEE_P_2592010699, t19, t20);
    t22 = (t21 == (unsigned char)2);
    t3 = t22;

LAB7:    if (t3 != 0)
        goto LAB2;

LAB4:    t1 = (t0 + 3312U);
    t2 = *((char **)t1);
    t13 = (0 - 3);
    t10 = (t13 * -1);
    t11 = (1U * t10);
    t12 = (0 + t11);
    t1 = (t2 + t12);
    t16 = *((unsigned char *)t1);
    t4 = (t0 + 3312U);
    t7 = *((char **)t4);
    t15 = (1 - 3);
    t14 = (t15 * -1);
    t27 = (1U * t14);
    t28 = (0 + t27);
    t4 = (t7 + t28);
    t17 = *((unsigned char *)t4);
    t18 = (t16 == t17);
    if (t18 == 1)
        goto LAB14;

LAB15:    t5 = (unsigned char)0;

LAB16:    if (t5 == 1)
        goto LAB11;

LAB12:    t3 = (unsigned char)0;

LAB13:    if (t3 != 0)
        goto LAB8;

LAB10:    t1 = (t0 + 1936U);
    t2 = *((char **)t1);
    t5 = *((unsigned char *)t2);
    t16 = (t5 == (unsigned char)2);
    if (t16 == 1)
        goto LAB19;

LAB20:    t3 = (unsigned char)0;

LAB21:    if (t3 != 0)
        goto LAB17;

LAB18:    t1 = (t0 + 1936U);
    t2 = *((char **)t1);
    t5 = *((unsigned char *)t2);
    t16 = (t5 == (unsigned char)3);
    if (t16 == 1)
        goto LAB24;

LAB25:    t3 = (unsigned char)0;

LAB26:    if (t3 != 0)
        goto LAB22;

LAB23:    t1 = (t0 + 1776U);
    t2 = *((char **)t1);
    t5 = *((unsigned char *)t2);
    t16 = (t5 == (unsigned char)2);
    if (t16 == 1)
        goto LAB29;

LAB30:    t3 = (unsigned char)0;

LAB31:    if (t3 != 0)
        goto LAB27;

LAB28:    t1 = (t0 + 1776U);
    t2 = *((char **)t1);
    t5 = *((unsigned char *)t2);
    t16 = (t5 == (unsigned char)3);
    if (t16 == 1)
        goto LAB34;

LAB35:    t3 = (unsigned char)0;

LAB36:    if (t3 != 0)
        goto LAB32;

LAB33:    t1 = (t0 + 3072U);
    t2 = *((char **)t1);
    t1 = (t2 + 0);
    *((unsigned char *)t1) = (unsigned char)1;

LAB9:
LAB3:    t1 = (t0 + 4984);
    t2 = (t0 + 1256U);
    t4 = (t0 + 5752);
    t7 = (t0 + 3192U);
    t8 = *((char **)t7);
    t7 = (t0 + 8952);
    t23 = (t6 + 0U);
    t25 = (t23 + 0U);
    *((int *)t25) = 1;
    t25 = (t23 + 4U);
    *((int *)t25) = 1;
    t25 = (t23 + 8U);
    *((int *)t25) = 1;
    t13 = (1 - 1);
    t10 = (t13 * 1);
    t10 = (t10 + 1);
    t25 = (t23 + 12U);
    *((unsigned int *)t25) = t10;
    t25 = (t0 + 3072U);
    t26 = *((char **)t25);
    t3 = *((unsigned char *)t26);
    t25 = xsi_get_transient_memory(64U);
    memset(t25, 0, 64U);
    t37 = t25;
    t15 = (0 - 0);
    t10 = (t15 * 1);
    t11 = (32U * t10);
    t42 = (t37 + t11);
    t43 = t42;
    t49 = (t0 + 1736U);
    t58 = xsi_signal_get_last_event(t49);
    *((int64 *)t43) = t58;
    t50 = (t42 + 8U);
    t56 = (t0 + 2712U);
    t57 = *((char **)t56);
    memcpy(t50, t57, 16U);
    t56 = (t42 + 24U);
    *((unsigned char *)t56) = (unsigned char)1;
    t29 = (1 - 0);
    t12 = (t29 * 1);
    t14 = (32U * t12);
    t59 = (t37 + t14);
    t60 = t59;
    t61 = (t0 + 1896U);
    t62 = xsi_signal_get_last_event(t61);
    *((int64 *)t60) = t62;
    t63 = (t59 + 8U);
    t64 = (t0 + 2832U);
    t65 = *((char **)t64);
    memcpy(t63, t65, 16U);
    t64 = (t59 + 24U);
    *((unsigned char *)t64) = (unsigned char)1;
    t67 = (t66 + 0U);
    t68 = (t67 + 0U);
    *((int *)t68) = 0;
    t68 = (t67 + 4U);
    *((int *)t68) = 1;
    t68 = (t67 + 8U);
    *((int *)t68) = 1;
    t33 = (1 - 0);
    t27 = (t33 * 1);
    t27 = (t27 + 1);
    t68 = (t67 + 12U);
    *((unsigned int *)t68) = t27;
    t68 = ((IEEE_P_2717149903) + 1288U);
    t69 = *((char **)t68);
    memcpy(t70, t69, 16U);
    t68 = (t0 + 2232U);
    t71 = *((char **)t68);
    t5 = *((unsigned char *)t71);
    t68 = (t0 + 2352U);
    t72 = *((char **)t68);
    t16 = *((unsigned char *)t72);
    ieee_p_2717149903_sub_2486506143_2101202839(IEEE_P_2717149903, t1, t2, 0U, 0U, t4, t8, t7, t6, t3, t25, t66, t70, (unsigned char)3, t5, t16, (unsigned char)1, (unsigned char)0, (unsigned char)0, (unsigned char)0);
    t1 = (t0 + 5528);
    *((int *)t1) = 1;

LAB1:    return;
LAB2:    t1 = (t0 + 3312U);
    t9 = *((char **)t1);
    t1 = (t0 + 3552U);
    t23 = *((char **)t1);
    t13 = *((int *)t23);
    t15 = (t13 - 3);
    t10 = (t15 * -1);
    xsi_vhdl_check_range_of_index(3, 0, -1, t13);
    t11 = (1U * t10);
    t12 = (0 + t11);
    t1 = (t9 + t12);
    t24 = *((unsigned char *)t1);
    t25 = (t0 + 3072U);
    t26 = *((char **)t25);
    t25 = (t26 + 0);
    *((unsigned char *)t25) = t24;
    goto LAB3;

LAB5:    t3 = (unsigned char)1;
    goto LAB7;

LAB8:    t49 = (t0 + 3312U);
    t50 = *((char **)t49);
    t51 = (0 - 3);
    t52 = (t51 * -1);
    t53 = (1U * t52);
    t54 = (0 + t53);
    t49 = (t50 + t54);
    t55 = *((unsigned char *)t49);
    t56 = (t0 + 3072U);
    t57 = *((char **)t56);
    t56 = (t57 + 0);
    *((unsigned char *)t56) = t55;
    goto LAB9;

LAB11:    t26 = (t0 + 3312U);
    t37 = *((char **)t26);
    t38 = (0 - 3);
    t39 = (t38 * -1);
    t40 = (1U * t39);
    t41 = (0 + t40);
    t26 = (t37 + t41);
    t22 = *((unsigned char *)t26);
    t42 = (t0 + 3312U);
    t43 = *((char **)t42);
    t44 = (2 - 3);
    t45 = (t44 * -1);
    t46 = (1U * t45);
    t47 = (0 + t46);
    t42 = (t43 + t47);
    t24 = *((unsigned char *)t42);
    t48 = (t22 == t24);
    t3 = t48;
    goto LAB13;

LAB14:    t8 = (t0 + 3312U);
    t9 = *((char **)t8);
    t29 = (2 - 3);
    t30 = (t29 * -1);
    t31 = (1U * t30);
    t32 = (0 + t31);
    t8 = (t9 + t32);
    t19 = *((unsigned char *)t8);
    t23 = (t0 + 3312U);
    t25 = *((char **)t23);
    t33 = (3 - 3);
    t34 = (t33 * -1);
    t35 = (1U * t34);
    t36 = (0 + t35);
    t23 = (t25 + t36);
    t20 = *((unsigned char *)t23);
    t21 = (t19 == t20);
    t5 = t21;
    goto LAB16;

LAB17:    t9 = (t0 + 3312U);
    t23 = *((char **)t9);
    t29 = (0 - 3);
    t30 = (t29 * -1);
    t31 = (1U * t30);
    t32 = (0 + t31);
    t9 = (t23 + t32);
    t20 = *((unsigned char *)t9);
    t25 = (t0 + 3072U);
    t26 = *((char **)t25);
    t25 = (t26 + 0);
    *((unsigned char *)t25) = t20;
    goto LAB9;

LAB19:    t1 = (t0 + 3312U);
    t4 = *((char **)t1);
    t13 = (0 - 3);
    t10 = (t13 * -1);
    t11 = (1U * t10);
    t12 = (0 + t11);
    t1 = (t4 + t12);
    t17 = *((unsigned char *)t1);
    t7 = (t0 + 3312U);
    t8 = *((char **)t7);
    t15 = (1 - 3);
    t14 = (t15 * -1);
    t27 = (1U * t14);
    t28 = (0 + t27);
    t7 = (t8 + t28);
    t18 = *((unsigned char *)t7);
    t19 = (t17 == t18);
    t3 = t19;
    goto LAB21;

LAB22:    t9 = (t0 + 3312U);
    t23 = *((char **)t9);
    t29 = (2 - 3);
    t30 = (t29 * -1);
    t31 = (1U * t30);
    t32 = (0 + t31);
    t9 = (t23 + t32);
    t20 = *((unsigned char *)t9);
    t25 = (t0 + 3072U);
    t26 = *((char **)t25);
    t25 = (t26 + 0);
    *((unsigned char *)t25) = t20;
    goto LAB9;

LAB24:    t1 = (t0 + 3312U);
    t4 = *((char **)t1);
    t13 = (2 - 3);
    t10 = (t13 * -1);
    t11 = (1U * t10);
    t12 = (0 + t11);
    t1 = (t4 + t12);
    t17 = *((unsigned char *)t1);
    t7 = (t0 + 3312U);
    t8 = *((char **)t7);
    t15 = (3 - 3);
    t14 = (t15 * -1);
    t27 = (1U * t14);
    t28 = (0 + t27);
    t7 = (t8 + t28);
    t18 = *((unsigned char *)t7);
    t19 = (t17 == t18);
    t3 = t19;
    goto LAB26;

LAB27:    t9 = (t0 + 3312U);
    t23 = *((char **)t9);
    t29 = (0 - 3);
    t30 = (t29 * -1);
    t31 = (1U * t30);
    t32 = (0 + t31);
    t9 = (t23 + t32);
    t20 = *((unsigned char *)t9);
    t25 = (t0 + 3072U);
    t26 = *((char **)t25);
    t25 = (t26 + 0);
    *((unsigned char *)t25) = t20;
    goto LAB9;

LAB29:    t1 = (t0 + 3312U);
    t4 = *((char **)t1);
    t13 = (0 - 3);
    t10 = (t13 * -1);
    t11 = (1U * t10);
    t12 = (0 + t11);
    t1 = (t4 + t12);
    t17 = *((unsigned char *)t1);
    t7 = (t0 + 3312U);
    t8 = *((char **)t7);
    t15 = (2 - 3);
    t14 = (t15 * -1);
    t27 = (1U * t14);
    t28 = (0 + t27);
    t7 = (t8 + t28);
    t18 = *((unsigned char *)t7);
    t19 = (t17 == t18);
    t3 = t19;
    goto LAB31;

LAB32:    t9 = (t0 + 3312U);
    t23 = *((char **)t9);
    t29 = (1 - 3);
    t30 = (t29 * -1);
    t31 = (1U * t30);
    t32 = (0 + t31);
    t9 = (t23 + t32);
    t20 = *((unsigned char *)t9);
    t25 = (t0 + 3072U);
    t26 = *((char **)t25);
    t25 = (t26 + 0);
    *((unsigned char *)t25) = t20;
    goto LAB9;

LAB34:    t1 = (t0 + 3312U);
    t4 = *((char **)t1);
    t13 = (1 - 3);
    t10 = (t13 * -1);
    t11 = (1U * t10);
    t12 = (0 + t11);
    t1 = (t4 + t12);
    t17 = *((unsigned char *)t1);
    t7 = (t0 + 3312U);
    t8 = *((char **)t7);
    t15 = (3 - 3);
    t14 = (t15 * -1);
    t27 = (1U * t14);
    t28 = (0 + t27);
    t7 = (t8 + t28);
    t18 = *((unsigned char *)t7);
    t19 = (t17 == t18);
    t3 = t19;
    goto LAB36;

}
static void work_a_2615964831_3212880686_p_0(char *t0)
{
    char t17[16];
    char *t1;
    char *t2;
    unsigned char t3;
    char *t4;
    char *t5;
    char *t6;
    char *t7;
    char *t8;
    unsigned char t9;
    char *t10;
    unsigned int t11;
    char *t12;
    int t13;
    int t14;
    unsigned int t15;
    unsigned int t16;
    unsigned char t18;
    int t19;
    char *t20;
    char *t21;
    char *t22;
    char *t23;
    char *t24;

LAB0:    xsi_set_current_line(27, ng0);
    t1 = (t0 + 6032);
    t3 = (32U != 32U);
    if (t3 == 1)
        goto LAB2;

LAB3:    t4 = (t0 + 2320);
    t5 = (t4 + 32U);
    t6 = *((char **)t5);
    t7 = (t6 + 40U);
    t8 = *((char **)t7);
    memcpy(t8, t1, 32U);
    xsi_driver_first_trans_delta(t4, 0U, 32U, 0LL);
    xsi_set_current_line(29, ng0);
    t1 = (t0 + 1052U);
    t2 = *((char **)t1);
    t3 = *((unsigned char *)t2);
    t9 = (t3 == (unsigned char)3);
    if (t9 != 0)
        goto LAB4;

LAB6:    xsi_set_current_line(35, ng0);
    t1 = (t0 + 1420U);
    t2 = *((char **)t1);
    t1 = (t0 + 592U);
    t4 = *((char **)t1);
    t1 = (t0 + 4548U);
    t13 = ieee_p_3620187407_sub_514432868_3965413181(IEEE_P_3620187407, t4, t1);
    t14 = (t13 - 0);
    t11 = (t14 * 1);
    xsi_vhdl_check_range_of_index(0, 39, 1, t13);
    t15 = (32U * t11);
    t16 = (0 + t15);
    t5 = (t2 + t16);
    t6 = (t0 + 2356);
    t7 = (t6 + 32U);
    t8 = *((char **)t7);
    t10 = (t8 + 40U);
    t12 = *((char **)t10);
    memcpy(t12, t5, 32U);
    xsi_driver_first_trans_fast_port(t6);
    xsi_set_current_line(36, ng0);
    t1 = (t0 + 1420U);
    t2 = *((char **)t1);
    t1 = (t0 + 684U);
    t4 = *((char **)t1);
    t1 = (t0 + 4564U);
    t13 = ieee_p_3620187407_sub_514432868_3965413181(IEEE_P_3620187407, t4, t1);
    t14 = (t13 - 0);
    t11 = (t14 * 1);
    xsi_vhdl_check_range_of_index(0, 39, 1, t13);
    t15 = (32U * t11);
    t16 = (0 + t15);
    t5 = (t2 + t16);
    t6 = (t0 + 2392);
    t7 = (t6 + 32U);
    t8 = *((char **)t7);
    t10 = (t8 + 40U);
    t12 = *((char **)t10);
    memcpy(t12, t5, 32U);
    xsi_driver_first_trans_fast_port(t6);
    xsi_set_current_line(37, ng0);
    t1 = (t0 + 1420U);
    t2 = *((char **)t1);
    t1 = (t0 + 776U);
    t4 = *((char **)t1);
    t1 = (t0 + 4580U);
    t13 = ieee_p_3620187407_sub_514432868_3965413181(IEEE_P_3620187407, t4, t1);
    t14 = (t13 - 0);
    t11 = (t14 * 1);
    xsi_vhdl_check_range_of_index(0, 39, 1, t13);
    t15 = (32U * t11);
    t16 = (0 + t15);
    t5 = (t2 + t16);
    t6 = (t0 + 2428);
    t7 = (t6 + 32U);
    t8 = *((char **)t7);
    t10 = (t8 + 40U);
    t12 = *((char **)t10);
    memcpy(t12, t5, 32U);
    xsi_driver_first_trans_fast_port(t6);
    xsi_set_current_line(38, ng0);
    t1 = (t0 + 960U);
    t2 = *((char **)t1);
    t3 = *((unsigned char *)t2);
    t9 = (t3 == (unsigned char)3);
    if (t9 != 0)
        goto LAB9;

LAB11:
LAB10:
LAB5:    t1 = (t0 + 2276);
    *((int *)t1) = 1;

LAB1:    return;
LAB2:    xsi_size_not_matching(32U, 32U, 0);
    goto LAB3;

LAB4:    xsi_set_current_line(30, ng0);
    t1 = xsi_get_transient_memory(32U);
    memset(t1, 0, 32U);
    t4 = t1;
    memset(t4, (unsigned char)2, 32U);
    t5 = (t0 + 2356);
    t6 = (t5 + 32U);
    t7 = *((char **)t6);
    t8 = (t7 + 40U);
    t10 = *((char **)t8);
    memcpy(t10, t1, 32U);
    xsi_driver_first_trans_fast_port(t5);
    xsi_set_current_line(31, ng0);
    t1 = xsi_get_transient_memory(32U);
    memset(t1, 0, 32U);
    t2 = t1;
    memset(t2, (unsigned char)2, 32U);
    t4 = (t0 + 2392);
    t5 = (t4 + 32U);
    t6 = *((char **)t5);
    t7 = (t6 + 40U);
    t8 = *((char **)t7);
    memcpy(t8, t1, 32U);
    xsi_driver_first_trans_fast_port(t4);
    xsi_set_current_line(32, ng0);
    t1 = xsi_get_transient_memory(32U);
    memset(t1, 0, 32U);
    t2 = t1;
    memset(t2, (unsigned char)2, 32U);
    t4 = (t0 + 2428);
    t5 = (t4 + 32U);
    t6 = *((char **)t5);
    t7 = (t6 + 40U);
    t8 = *((char **)t7);
    memcpy(t8, t1, 32U);
    xsi_driver_first_trans_fast_port(t4);
    xsi_set_current_line(33, ng0);
    t1 = xsi_get_transient_memory(1280U);
    memset(t1, 0, 1280U);
    t2 = t1;
    t4 = (t0 + 6064);
    t3 = (32U != 0);
    if (t3 == 1)
        goto LAB7;

LAB8:    t6 = (t0 + 2320);
    t7 = (t6 + 32U);
    t8 = *((char **)t7);
    t10 = (t8 + 40U);
    t12 = *((char **)t10);
    memcpy(t12, t1, 1280U);
    xsi_driver_first_trans_fast(t6);
    goto LAB5;

LAB7:    t11 = (1280U / 32U);
    xsi_mem_set_data(t2, t4, 32U, t11);
    goto LAB8;

LAB9:    xsi_set_current_line(40, ng0);
    t1 = (t0 + 776U);
    t4 = *((char **)t1);
    t1 = (t0 + 4580U);
    t5 = (t0 + 6096);
    t7 = (t17 + 0U);
    t8 = (t7 + 0U);
    *((int *)t8) = 0;
    t8 = (t7 + 4U);
    *((int *)t8) = 5;
    t8 = (t7 + 8U);
    *((int *)t8) = 1;
    t13 = (5 - 0);
    t11 = (t13 * 1);
    t11 = (t11 + 1);
    t8 = (t7 + 12U);
    *((unsigned int *)t8) = t11;
    t18 = ieee_p_3620187407_sub_4042748798_3965413181(IEEE_P_3620187407, t4, t1, t5, t17);
    if (t18 != 0)
        goto LAB12;

LAB14:    xsi_set_current_line(43, ng0);
    t1 = (t0 + 6102);
    t3 = (32U != 32U);
    if (t3 == 1)
        goto LAB15;

LAB16:    t4 = (t0 + 2320);
    t5 = (t4 + 32U);
    t6 = *((char **)t5);
    t7 = (t6 + 40U);
    t8 = *((char **)t7);
    memcpy(t8, t1, 32U);
    xsi_driver_first_trans_delta(t4, 0U, 32U, 0LL);

LAB13:    goto LAB10;

LAB12:    xsi_set_current_line(41, ng0);
    t8 = (t0 + 868U);
    t10 = *((char **)t8);
    t8 = (t0 + 776U);
    t12 = *((char **)t8);
    t8 = (t0 + 4580U);
    t14 = ieee_p_3620187407_sub_514432868_3965413181(IEEE_P_3620187407, t12, t8);
    t19 = (t14 - 0);
    t11 = (t19 * 1);
    t15 = (32U * t11);
    t16 = (0U + t15);
    t20 = (t0 + 2320);
    t21 = (t20 + 32U);
    t22 = *((char **)t21);
    t23 = (t22 + 40U);
    t24 = *((char **)t23);
    memcpy(t24, t10, 32U);
    xsi_driver_first_trans_delta(t20, t16, 32U, 0LL);
    goto LAB13;

LAB15:    xsi_size_not_matching(32U, 32U, 0);
    goto LAB16;

}
unsigned char xilinxcorelib_a_2032562814_3212880686_sub_1958210513717660761_3057020925(char *t1, unsigned char t2)
{
    char t4[8];
    unsigned char t0;
    char *t5;
    unsigned char t6;
    unsigned char t7;
    unsigned char t8;
    char *t9;
    static char *nl0[] = {&&LAB36, &&LAB36, &&LAB36, &&LAB36, &&LAB36, &&LAB36, &&LAB36, &&LAB36, &&LAB36, &&LAB36, &&LAB36, &&LAB36, &&LAB36, &&LAB36, &&LAB36, &&LAB36, &&LAB36, &&LAB36, &&LAB36, &&LAB36, &&LAB36, &&LAB36, &&LAB36, &&LAB36, &&LAB36, &&LAB36, &&LAB36, &&LAB36, &&LAB36, &&LAB36, &&LAB36, &&LAB36, &&LAB36, &&LAB36, &&LAB36, &&LAB36, &&LAB36, &&LAB36, &&LAB36, &&LAB36, &&LAB36, &&LAB36, &&LAB36, &&LAB36, &&LAB36, &&LAB36, &&LAB36, &&LAB36, &&LAB36, &&LAB36, &&LAB36, &&LAB36, &&LAB36, &&LAB36, &&LAB36, &&LAB36, &&LAB36, &&LAB36, &&LAB36, &&LAB36, &&LAB36, &&LAB36, &&LAB36, &&LAB36, &&LAB36, &&LAB10, &&LAB11, &&LAB12, &&LAB13, &&LAB14, &&LAB15, &&LAB16, &&LAB17, &&LAB18, &&LAB19, &&LAB20, &&LAB21, &&LAB22, &&LAB23, &&LAB24, &&LAB25, &&LAB26, &&LAB27, &&LAB28, &&LAB29, &&LAB30, &&LAB31, &&LAB32, &&LAB33, &&LAB34, &&LAB35, &&LAB36, &&LAB36, &&LAB36, &&LAB36, &&LAB36, &&LAB36, &&LAB36, &&LAB36, &&LAB36, &&LAB36, &&LAB36, &&LAB36, &&LAB36, &&LAB36, &&LAB36, &&LAB36, &&LAB36, &&LAB36, &&LAB36, &&LAB36, &&LAB36, &&LAB36, &&LAB36, &&LAB36, &&LAB36, &&LAB36, &&LAB36, &&LAB36, &&LAB36, &&LAB36, &&LAB36, &&LAB36, &&LAB36, &&LAB36, &&LAB36, &&LAB36, &&LAB36, &&LAB36, &&LAB36, &&LAB36, &&LAB36, &&LAB36, &&LAB36, &&LAB36, &&LAB36, &&LAB36, &&LAB36, &&LAB36, &&LAB36, &&LAB36, &&LAB36, &&LAB36, &&LAB36, &&LAB36, &&LAB36, &&LAB36, &&LAB36, &&LAB36, &&LAB36, &&LAB36, &&LAB36, &&LAB36, &&LAB36, &&LAB36, &&LAB36, &&LAB36, &&LAB36, &&LAB36, &&LAB36, &&LAB36, &&LAB36, &&LAB36, &&LAB36, &&LAB36, &&LAB36, &&LAB36, &&LAB36, &&LAB36, &&LAB36, &&LAB36, &&LAB36, &&LAB36, &&LAB36, &&LAB36, &&LAB36, &&LAB36, &&LAB36, &&LAB36, &&LAB36, &&LAB36, &&LAB36, &&LAB36, &&LAB36, &&LAB36, &&LAB36, &&LAB36, &&LAB36, &&LAB36, &&LAB36, &&LAB36, &&LAB36, &&LAB36, &&LAB36, &&LAB36, &&LAB36, &&LAB36, &&LAB36, &&LAB36, &&LAB36, &&LAB36, &&LAB36, &&LAB36, &&LAB36, &&LAB36, &&LAB36, &&LAB36, &&LAB36, &&LAB36, &&LAB36, &&LAB36, &&LAB36, &&LAB36, &&LAB36, &&LAB36, &&LAB36, &&LAB36, &&LAB36, &&LAB36, &&LAB36, &&LAB36, &&LAB36, &&LAB36, &&LAB36, &&LAB36, &&LAB36, &&LAB36, &&LAB36, &&LAB36, &&LAB36, &&LAB36, &&LAB36, &&LAB36, &&LAB36, &&LAB36, &&LAB36, &&LAB36, &&LAB36, &&LAB36, &&LAB36, &&LAB36, &&LAB36, &&LAB36, &&LAB36, &&LAB36, &&LAB36, &&LAB36, &&LAB36, &&LAB36, &&LAB36, &&LAB36, &&LAB36, &&LAB36, &&LAB36, &&LAB36, &&LAB36};

LAB0:    t5 = (t4 + 4U);
    *((unsigned char *)t5) = t2;
    t7 = (t2 < (unsigned char)65);
    if (t7 == 1)
        goto LAB5;

LAB6:    t8 = (t2 > (unsigned char)90);
    t6 = t8;

LAB7:    if (t6 != 0)
        goto LAB2;

LAB4:
LAB3:    t9 = (char *)((nl0) + t2);
    goto **((char **)t9);

LAB2:    t0 = t2;

LAB1:    return t0;
LAB5:    t6 = (unsigned char)1;
    goto LAB7;

LAB8:    goto LAB3;

LAB9:    xsi_error(ng0);
    t0 = 0;
    goto LAB1;

LAB10:    t0 = (unsigned char)97;
    goto LAB1;

LAB11:    t0 = (unsigned char)98;
    goto LAB1;

LAB12:    t0 = (unsigned char)99;
    goto LAB1;

LAB13:    t0 = (unsigned char)100;
    goto LAB1;

LAB14:    t0 = (unsigned char)101;
    goto LAB1;

LAB15:    t0 = (unsigned char)102;
    goto LAB1;

LAB16:    t0 = (unsigned char)103;
    goto LAB1;

LAB17:    t0 = (unsigned char)104;
    goto LAB1;

LAB18:    t0 = (unsigned char)105;
    goto LAB1;

LAB19:    t0 = (unsigned char)106;
    goto LAB1;

LAB20:    t0 = (unsigned char)107;
    goto LAB1;

LAB21:    t0 = (unsigned char)108;
    goto LAB1;

LAB22:    t0 = (unsigned char)109;
    goto LAB1;

LAB23:    t0 = (unsigned char)110;
    goto LAB1;

LAB24:    t0 = (unsigned char)111;
    goto LAB1;

LAB25:    t0 = (unsigned char)112;
    goto LAB1;

LAB26:    t0 = (unsigned char)113;
    goto LAB1;

LAB27:    t0 = (unsigned char)114;
    goto LAB1;

LAB28:    t0 = (unsigned char)115;
    goto LAB1;

LAB29:    t0 = (unsigned char)116;
    goto LAB1;

LAB30:    t0 = (unsigned char)117;
    goto LAB1;

LAB31:    t0 = (unsigned char)118;
    goto LAB1;

LAB32:    t0 = (unsigned char)119;
    goto LAB1;

LAB33:    t0 = (unsigned char)120;
    goto LAB1;

LAB34:    t0 = (unsigned char)121;
    goto LAB1;

LAB35:    t0 = (unsigned char)122;
    goto LAB1;

LAB36:    t0 = t2;
    goto LAB1;

LAB37:    goto LAB9;

LAB38:    goto LAB9;

LAB39:    goto LAB9;

LAB40:    goto LAB9;

LAB41:    goto LAB9;

LAB42:    goto LAB9;

LAB43:    goto LAB9;

LAB44:    goto LAB9;

LAB45:    goto LAB9;

LAB46:    goto LAB9;

LAB47:    goto LAB9;

LAB48:    goto LAB9;

LAB49:    goto LAB9;

LAB50:    goto LAB9;

LAB51:    goto LAB9;

LAB52:    goto LAB9;

LAB53:    goto LAB9;

LAB54:    goto LAB9;

LAB55:    goto LAB9;

LAB56:    goto LAB9;

LAB57:    goto LAB9;

LAB58:    goto LAB9;

LAB59:    goto LAB9;

LAB60:    goto LAB9;

LAB61:    goto LAB9;

LAB62:    goto LAB9;

LAB63:    goto LAB9;

}

unsigned char xilinxcorelib_a_2032562814_3212880686_sub_13567630763362382874_3057020925(char *t1, char *t2, char *t3, char *t4, char *t5)
{
    char t6[368];
    char t7[40];
    char t13[8];
    char t21[8];
    char t27[8];
    unsigned char t0;
    char *t8;
    unsigned int t9;
    char *t10;
    char *t11;
    char *t12;
    char *t14;
    char *t15;
    char *t16;
    unsigned int t17;
    char *t18;
    char *t19;
    char *t20;
    char *t22;
    char *t23;
    char *t24;
    char *t25;
    char *t26;
    char *t28;
    char *t29;
    char *t30;
    unsigned char t31;
    char *t32;
    char *t33;
    unsigned char t34;
    char *t35;
    char *t36;
    char *t37;
    int t38;
    char *t39;
    int t40;
    unsigned char t41;
    unsigned char t42;
    char *t43;
    int t44;
    int t45;
    int t46;
    int t47;
    int t48;
    int t49;
    unsigned int t50;
    int t51;
    int t52;
    int t53;
    unsigned int t54;
    int t55;
    unsigned int t56;
    unsigned int t57;
    unsigned char t58;
    unsigned char t59;

LAB0:    t8 = (t3 + 12U);
    t9 = *((unsigned int *)t8);
    t10 = (t6 + 4U);
    t11 = ((STD_STANDARD) + 384);
    t12 = (t10 + 88U);
    *((char **)t12) = t11;
    t14 = (t10 + 56U);
    *((char **)t14) = t13;
    *((unsigned int *)t13) = t9;
    t15 = (t10 + 80U);
    *((unsigned int *)t15) = 4U;
    t16 = (t5 + 12U);
    t17 = *((unsigned int *)t16);
    t18 = (t6 + 124U);
    t19 = ((STD_STANDARD) + 384);
    t20 = (t18 + 88U);
    *((char **)t20) = t19;
    t22 = (t18 + 56U);
    *((char **)t22) = t21;
    *((unsigned int *)t21) = t17;
    t23 = (t18 + 80U);
    *((unsigned int *)t23) = 4U;
    t24 = (t6 + 244U);
    t25 = ((STD_STANDARD) + 0);
    t26 = (t24 + 88U);
    *((char **)t26) = t25;
    t28 = (t24 + 56U);
    *((char **)t28) = t27;
    *((unsigned char *)t27) = (unsigned char)1;
    t29 = (t24 + 80U);
    *((unsigned int *)t29) = 1U;
    t30 = (t7 + 4U);
    t31 = (t2 != 0);
    if (t31 == 1)
        goto LAB3;

LAB2:    t32 = (t7 + 12U);
    *((char **)t32) = t3;
    t33 = (t7 + 20U);
    t34 = (t4 != 0);
    if (t34 == 1)
        goto LAB5;

LAB4:    t35 = (t7 + 28U);
    *((char **)t35) = t5;
    t36 = (t10 + 56U);
    t37 = *((char **)t36);
    t38 = *((int *)t37);
    t36 = (t18 + 56U);
    t39 = *((char **)t36);
    t40 = *((int *)t39);
    t41 = (t38 == t40);
    t42 = (!(t41));
    if (t42 != 0)
        goto LAB6;

LAB8:    t8 = (t3 + 4U);
    t38 = *((int *)t8);
    t11 = (t5 + 0U);
    t40 = *((int *)t11);
    t44 = t40;
    t45 = t38;

LAB9:    if (t44 <= t45)
        goto LAB10;

LAB12:
LAB7:    t8 = (t24 + 56U);
    t11 = *((char **)t8);
    t31 = *((unsigned char *)t11);
    t0 = t31;

LAB1:    return t0;
LAB3:    *((char **)t30) = t2;
    goto LAB2;

LAB5:    *((char **)t33) = t4;
    goto LAB4;

LAB6:    t36 = (t24 + 56U);
    t43 = *((char **)t36);
    t36 = (t43 + 0);
    *((unsigned char *)t36) = (unsigned char)0;
    goto LAB7;

LAB10:    t12 = (t3 + 0U);
    t46 = *((int *)t12);
    t14 = (t3 + 8U);
    t47 = *((int *)t14);
    t48 = (t44 - t46);
    t9 = (t48 * t47);
    t15 = (t3 + 4U);
    t49 = *((int *)t15);
    xsi_vhdl_check_range_of_index(t46, t49, t47, t44);
    t17 = (1U * t9);
    t50 = (0 + t17);
    t16 = (t2 + t50);
    t31 = *((unsigned char *)t16);
    t34 = xilinxcorelib_a_2032562814_3212880686_sub_1958210513717660761_3057020925(t1, t31);
    t19 = (t5 + 0U);
    t51 = *((int *)t19);
    t20 = (t5 + 8U);
    t52 = *((int *)t20);
    t53 = (t44 - t51);
    t54 = (t53 * t52);
    t22 = (t5 + 4U);
    t55 = *((int *)t22);
    xsi_vhdl_check_range_of_index(t51, t55, t52, t44);
    t56 = (1U * t54);
    t57 = (0 + t56);
    t23 = (t4 + t57);
    t41 = *((unsigned char *)t23);
    t42 = xilinxcorelib_a_2032562814_3212880686_sub_1958210513717660761_3057020925(t1, t41);
    t58 = (t34 == t42);
    t59 = (!(t58));
    if (t59 != 0)
        goto LAB13;

LAB15:
LAB14:
LAB11:    if (t44 == t45)
        goto LAB12;

LAB16:    t38 = (t44 + 1);
    t44 = t38;
    goto LAB9;

LAB13:    t25 = (t24 + 56U);
    t26 = *((char **)t25);
    t25 = (t26 + 0);
    *((unsigned char *)t25) = (unsigned char)0;
    goto LAB14;

LAB17:;
}
static void work_a_1104041532_4151730006_p_0(char *t0)
{
    char *t1;
    char *t2;
    unsigned char t3;
    unsigned char t4;
    char *t5;
    int t6;
    int t7;
    int t8;
    char *t9;
    char *t10;
    int t11;
    int t12;
    int t13;
    unsigned int t14;
    unsigned int t15;
    unsigned int t16;
    char *t17;
    char *t18;
    char *t19;
    char *t20;
    char *t21;
    int t22;
    unsigned char t23;
    char *t24;
    int t25;
    int t26;
    int t27;
    unsigned int t28;
    unsigned int t29;
    unsigned int t30;
    char *t31;
    char *t32;
    int t33;
    char *t34;

LAB0:    xsi_set_current_line(54, ng0);
    t1 = (t0 + 1192U);
    t2 = *((char **)t1);
    t3 = *((unsigned char *)t2);
    t4 = (t3 == (unsigned char)3);
    if (t4 != 0)
        goto LAB2;

LAB4:    t1 = (t0 + 992U);
    t3 = ieee_p_2592010699_sub_2763492388968962707_503743352(IEEE_P_2592010699, t1, 0U, 0U);
    if (t3 != 0)
        goto LAB10;

LAB11:
LAB3:    t1 = (t0 + 4184);
    *((int *)t1) = 1;

LAB1:    return;
LAB2:    xsi_set_current_line(55, ng0);
    t1 = (t0 + 2768U);
    t5 = *((char **)t1);
    t1 = (t5 + 0);
    *((int *)t1) = 0;
    xsi_set_current_line(56, ng0);
    t1 = ((WORK_P_0279055419) + 1168U);
    t2 = *((char **)t1);
    t6 = *((int *)t2);
    t1 = (t0 + 6852);
    *((int *)t1) = 1;
    t5 = (t0 + 6856);
    *((int *)t5) = t6;
    t7 = 1;
    t8 = t6;

LAB5:    if (t7 <= t8)
        goto LAB6;

LAB8:    xsi_set_current_line(59, ng0);
    t1 = (t0 + 4328);
    t2 = (t1 + 56U);
    t5 = *((char **)t2);
    t9 = (t5 + 56U);
    t10 = *((char **)t9);
    *((int *)t10) = 1;
    xsi_driver_first_trans_fast(t1);
    goto LAB3;

LAB6:    xsi_set_current_line(57, ng0);
    t9 = ((WORK_P_0279055419) + 1768U);
    t10 = *((char **)t9);
    t11 = *((int *)t10);
    t9 = (t0 + 6852);
    t12 = *((int *)t9);
    t13 = (t12 - 1);
    t14 = (t13 * 1);
    t15 = (1 * t14);
    t16 = (0U + t15);
    t17 = (t0 + 4264);
    t18 = (t17 + 56U);
    t19 = *((char **)t18);
    t20 = (t19 + 56U);
    t21 = *((char **)t20);
    *((int *)t21) = t11;
    xsi_driver_first_trans_delta(t17, t16, 1, 0LL);

LAB7:    t1 = (t0 + 6852);
    t7 = *((int *)t1);
    t2 = (t0 + 6856);
    t8 = *((int *)t2);
    if (t7 == t8)
        goto LAB8;

LAB9:    t6 = (t7 + 1);
    t7 = t6;
    t5 = (t0 + 6852);
    *((int *)t5) = t7;
    goto LAB5;

LAB10:    xsi_set_current_line(62, ng0);
    t2 = (t0 + 2312U);
    t5 = *((char **)t2);
    t6 = (1 - 1);
    t14 = (t6 * 1);
    t15 = (4U * t14);
    t16 = (0 + t15);
    t2 = (t5 + t16);
    t7 = *((int *)t2);
    t9 = (t0 + 4392);
    t10 = (t9 + 56U);
    t17 = *((char **)t10);
    t18 = (t17 + 56U);
    t19 = *((char **)t18);
    *((int *)t19) = t7;
    xsi_driver_first_trans_fast_port(t9);
    xsi_set_current_line(63, ng0);
    t1 = (t0 + 4456);
    t2 = (t1 + 56U);
    t5 = *((char **)t2);
    t9 = (t5 + 56U);
    t10 = *((char **)t9);
    *((int *)t10) = 0;
    xsi_driver_first_trans_fast_port(t1);
    xsi_set_current_line(65, ng0);
    t1 = (t0 + 1352U);
    t2 = *((char **)t1);
    t3 = *((unsigned char *)t2);
    t4 = (t3 == (unsigned char)3);
    if (t4 != 0)
        goto LAB12;

LAB14:    t1 = (t0 + 1832U);
    t2 = *((char **)t1);
    t3 = *((unsigned char *)t2);
    t4 = (t3 == (unsigned char)3);
    if (t4 != 0)
        goto LAB36;

LAB37:
LAB13:    goto LAB3;

LAB12:    xsi_set_current_line(66, ng0);
    t1 = ((WORK_P_0279055419) + 1168U);
    t5 = *((char **)t1);
    t6 = *((int *)t5);
    t1 = (t0 + 6860);
    *((int *)t1) = 1;
    t9 = (t0 + 6864);
    *((int *)t9) = t6;
    t7 = 1;
    t8 = t6;

LAB15:    if (t7 <= t8)
        goto LAB16;

LAB18:    xsi_set_current_line(74, ng0);
    t1 = ((WORK_P_0279055419) + 1168U);
    t2 = *((char **)t1);
    t6 = *((int *)t2);
    t1 = (t0 + 6868);
    *((int *)t1) = t6;
    t5 = (t0 + 6872);
    *((int *)t5) = 2;
    t7 = t6;
    t8 = 2;

LAB23:    if (t7 >= t8)
        goto LAB24;

LAB26:    xsi_set_current_line(83, ng0);
    t1 = (t0 + 1512U);
    t2 = *((char **)t1);
    t6 = *((int *)t2);
    t1 = (t0 + 4264);
    t5 = (t1 + 56U);
    t9 = *((char **)t5);
    t10 = (t9 + 56U);
    t17 = *((char **)t10);
    *((int *)t17) = t6;
    xsi_driver_first_trans_delta(t1, 0U, 1, 0LL);
    xsi_set_current_line(84, ng0);
    t1 = (t0 + 1512U);
    t2 = *((char **)t1);
    t6 = *((int *)t2);
    t1 = (t0 + 4392);
    t5 = (t1 + 56U);
    t9 = *((char **)t5);
    t10 = (t9 + 56U);
    t17 = *((char **)t10);
    *((int *)t17) = t6;
    xsi_driver_first_trans_fast_port(t1);
    goto LAB13;

LAB16:    xsi_set_current_line(67, ng0);
    t10 = (t0 + 2312U);
    t17 = *((char **)t10);
    t10 = (t0 + 6860);
    t11 = *((int *)t10);
    t12 = (t11 - 1);
    t14 = (t12 * 1);
    xsi_vhdl_check_range_of_index(1, 4, 1, *((int *)t10));
    t15 = (4U * t14);
    t16 = (0 + t15);
    t18 = (t17 + t16);
    t13 = *((int *)t18);
    t19 = (t0 + 1512U);
    t20 = *((char **)t19);
    t22 = *((int *)t20);
    t23 = (t13 == t22);
    if (t23 != 0)
        goto LAB19;

LAB21:    xsi_set_current_line(70, ng0);
    t1 = (t0 + 2768U);
    t2 = *((char **)t1);
    t6 = *((int *)t2);
    t1 = (t0 + 2768U);
    t5 = *((char **)t1);
    t1 = (t5 + 0);
    *((int *)t1) = t6;

LAB20:
LAB17:    t1 = (t0 + 6860);
    t7 = *((int *)t1);
    t2 = (t0 + 6864);
    t8 = *((int *)t2);
    if (t7 == t8)
        goto LAB18;

LAB22:    t6 = (t7 + 1);
    t7 = t6;
    t5 = (t0 + 6860);
    *((int *)t5) = t7;
    goto LAB15;

LAB19:    xsi_set_current_line(68, ng0);
    t19 = (t0 + 6860);
    t21 = (t0 + 2768U);
    t24 = *((char **)t21);
    t21 = (t24 + 0);
    *((int *)t21) = *((int *)t19);
    goto LAB20;

LAB24:    xsi_set_current_line(75, ng0);
    t9 = (t0 + 2768U);
    t10 = *((char **)t9);
    t11 = *((int *)t10);
    t3 = (t11 == 0);
    if (t3 != 0)
        goto LAB27;

LAB29:    t1 = (t0 + 2768U);
    t2 = *((char **)t1);
    t6 = *((int *)t2);
    t4 = (t6 > 0);
    if (t4 == 1)
        goto LAB32;

LAB33:    t3 = (unsigned char)0;

LAB34:    if (t3 != 0)
        goto LAB30;

LAB31:    xsi_set_current_line(80, ng0);
    t1 = (t0 + 2312U);
    t2 = *((char **)t1);
    t1 = (t0 + 6868);
    t6 = *((int *)t1);
    t11 = (t6 - 1);
    t14 = (t11 * 1);
    xsi_vhdl_check_range_of_index(1, 4, 1, *((int *)t1));
    t15 = (4U * t14);
    t16 = (0 + t15);
    t5 = (t2 + t16);
    t12 = *((int *)t5);
    t9 = (t0 + 6868);
    t13 = *((int *)t9);
    t22 = (t13 - 1);
    t28 = (t22 * 1);
    t29 = (1 * t28);
    t30 = (0U + t29);
    t10 = (t0 + 4264);
    t17 = (t10 + 56U);
    t18 = *((char **)t17);
    t19 = (t18 + 56U);
    t20 = *((char **)t19);
    *((int *)t20) = t12;
    xsi_driver_first_trans_delta(t10, t30, 1, 0LL);

LAB28:
LAB25:    t1 = (t0 + 6868);
    t7 = *((int *)t1);
    t2 = (t0 + 6872);
    t8 = *((int *)t2);
    if (t7 == t8)
        goto LAB26;

LAB35:    t6 = (t7 + -1);
    t7 = t6;
    t5 = (t0 + 6868);
    *((int *)t5) = t7;
    goto LAB23;

LAB27:    xsi_set_current_line(76, ng0);
    t9 = (t0 + 2312U);
    t17 = *((char **)t9);
    t9 = (t0 + 6868);
    t12 = *((int *)t9);
    t13 = (t12 - 1);
    t22 = (t13 - 1);
    t14 = (t22 * 1);
    xsi_vhdl_check_range_of_index(1, 4, 1, t13);
    t15 = (4U * t14);
    t16 = (0 + t15);
    t18 = (t17 + t16);
    t25 = *((int *)t18);
    t19 = (t0 + 6868);
    t26 = *((int *)t19);
    t27 = (t26 - 1);
    t28 = (t27 * 1);
    t29 = (1 * t28);
    t30 = (0U + t29);
    t20 = (t0 + 4264);
    t21 = (t20 + 56U);
    t24 = *((char **)t21);
    t31 = (t24 + 56U);
    t32 = *((char **)t31);
    *((int *)t32) = t25;
    xsi_driver_first_trans_delta(t20, t30, 1, 0LL);
    goto LAB28;

LAB30:    xsi_set_current_line(78, ng0);
    t5 = (t0 + 2312U);
    t10 = *((char **)t5);
    t5 = (t0 + 6868);
    t13 = *((int *)t5);
    t22 = (t13 - 1);
    t25 = (t22 - 1);
    t14 = (t25 * 1);
    xsi_vhdl_check_range_of_index(1, 4, 1, t22);
    t15 = (4U * t14);
    t16 = (0 + t15);
    t17 = (t10 + t16);
    t26 = *((int *)t17);
    t18 = (t0 + 6868);
    t27 = *((int *)t18);
    t33 = (t27 - 1);
    t28 = (t33 * 1);
    t29 = (1 * t28);
    t30 = (0U + t29);
    t19 = (t0 + 4264);
    t20 = (t19 + 56U);
    t21 = *((char **)t20);
    t24 = (t21 + 56U);
    t31 = *((char **)t24);
    *((int *)t31) = t26;
    xsi_driver_first_trans_delta(t19, t30, 1, 0LL);
    goto LAB28;

LAB32:    t1 = (t0 + 6868);
    t5 = (t0 + 2768U);
    t9 = *((char **)t5);
    t11 = *((int *)t9);
    t12 = *((int *)t1);
    t23 = (t12 <= t11);
    t3 = t23;
    goto LAB34;

LAB36:    xsi_set_current_line(88, ng0);
    t1 = (t0 + 2312U);
    t5 = *((char **)t1);
    t1 = (t0 + 1672U);
    t9 = *((char **)t1);
    t6 = *((int *)t9);
    t7 = (t6 - 1);
    t14 = (t7 * 1);
    xsi_vhdl_check_range_of_index(1, 4, 1, t6);
    t15 = (4U * t14);
    t16 = (0 + t15);
    t1 = (t5 + t16);
    t8 = *((int *)t1);
    t10 = (t0 + 2888U);
    t17 = *((char **)t10);
    t10 = (t17 + 0);
    *((int *)t10) = t8;
    xsi_set_current_line(91, ng0);
    t1 = ((WORK_P_0279055419) + 1168U);
    t2 = *((char **)t1);
    t6 = *((int *)t2);
    t1 = (t0 + 6876);
    *((int *)t1) = t6;
    t5 = (t0 + 6880);
    *((int *)t5) = 2;
    t7 = t6;
    t8 = 2;

LAB38:    if (t7 >= t8)
        goto LAB39;

LAB41:    xsi_set_current_line(99, ng0);
    t1 = (t0 + 2888U);
    t2 = *((char **)t1);
    t6 = *((int *)t2);
    t1 = (t0 + 4264);
    t5 = (t1 + 56U);
    t9 = *((char **)t5);
    t10 = (t9 + 56U);
    t17 = *((char **)t10);
    *((int *)t17) = t6;
    xsi_driver_first_trans_delta(t1, 0U, 1, 0LL);
    xsi_set_current_line(100, ng0);
    t1 = (t0 + 2888U);
    t2 = *((char **)t1);
    t6 = *((int *)t2);
    t1 = (t0 + 4456);
    t5 = (t1 + 56U);
    t9 = *((char **)t5);
    t10 = (t9 + 56U);
    t17 = *((char **)t10);
    *((int *)t17) = t6;
    xsi_driver_first_trans_fast_port(t1);
    xsi_set_current_line(101, ng0);
    t1 = (t0 + 2888U);
    t2 = *((char **)t1);
    t6 = *((int *)t2);
    t1 = (t0 + 4392);
    t5 = (t1 + 56U);
    t9 = *((char **)t5);
    t10 = (t9 + 56U);
    t17 = *((char **)t10);
    *((int *)t17) = t6;
    xsi_driver_first_trans_fast_port(t1);
    goto LAB13;

LAB39:    xsi_set_current_line(92, ng0);
    t9 = (t0 + 6876);
    t10 = (t0 + 1672U);
    t17 = *((char **)t10);
    t11 = *((int *)t17);
    t12 = *((int *)t9);
    t3 = (t12 <= t11);
    if (t3 != 0)
        goto LAB42;

LAB44:    xsi_set_current_line(95, ng0);
    t1 = (t0 + 2312U);
    t2 = *((char **)t1);
    t1 = (t0 + 6876);
    t6 = *((int *)t1);
    t11 = (t6 - 1);
    t14 = (t11 * 1);
    xsi_vhdl_check_range_of_index(1, 4, 1, *((int *)t1));
    t15 = (4U * t14);
    t16 = (0 + t15);
    t5 = (t2 + t16);
    t12 = *((int *)t5);
    t9 = (t0 + 6876);
    t13 = *((int *)t9);
    t22 = (t13 - 1);
    t28 = (t22 * 1);
    t29 = (1 * t28);
    t30 = (0U + t29);
    t10 = (t0 + 4264);
    t17 = (t10 + 56U);
    t18 = *((char **)t17);
    t19 = (t18 + 56U);
    t20 = *((char **)t19);
    *((int *)t20) = t12;
    xsi_driver_first_trans_delta(t10, t30, 1, 0LL);

LAB43:
LAB40:    t1 = (t0 + 6876);
    t7 = *((int *)t1);
    t2 = (t0 + 6880);
    t8 = *((int *)t2);
    if (t7 == t8)
        goto LAB41;

LAB45:    t6 = (t7 + -1);
    t7 = t6;
    t5 = (t0 + 6876);
    *((int *)t5) = t7;
    goto LAB38;

LAB42:    xsi_set_current_line(93, ng0);
    t10 = (t0 + 2312U);
    t18 = *((char **)t10);
    t10 = (t0 + 6876);
    t13 = *((int *)t10);
    t22 = (t13 - 1);
    t25 = (t22 - 1);
    t14 = (t25 * 1);
    xsi_vhdl_check_range_of_index(1, 4, 1, t22);
    t15 = (4U * t14);
    t16 = (0 + t15);
    t19 = (t18 + t16);
    t26 = *((int *)t19);
    t20 = (t0 + 6876);
    t27 = *((int *)t20);
    t33 = (t27 - 1);
    t28 = (t33 * 1);
    t29 = (1 * t28);
    t30 = (0U + t29);
    t21 = (t0 + 4264);
    t24 = (t21 + 56U);
    t31 = *((char **)t24);
    t32 = (t31 + 56U);
    t34 = *((char **)t32);
    *((int *)t34) = t26;
    xsi_driver_first_trans_delta(t21, t30, 1, 0LL);
    goto LAB43;

}
int work_a_3821739185_3212880686_sub_841269_3057020925(char *t1, char *t2, char *t3)
{
    char t4[128];
    char t5[24];
    char t9[16];
    int t0;
    int t6;
    int t7;
    unsigned int t8;
    int t10;
    char *t11;
    char *t12;
    int t13;
    unsigned int t14;
    char *t15;
    char *t16;
    char *t17;
    char *t18;
    char *t19;
    char *t20;
    char *t21;
    unsigned char t22;
    char *t23;
    char *t24;
    char *t25;
    char *t26;
    unsigned char t27;
    int t28;
    int t29;
    int t30;
    unsigned int t31;
    unsigned char t32;
    unsigned char t33;
    int t34;
    int t35;
    int t36;
    unsigned int t37;
    int t38;
    unsigned int t39;
    unsigned int t40;
    char *t41;
    unsigned char t42;
    unsigned char t43;
    char *t44;
    char *t45;
    int t46;
    char *t47;
    int t48;
    int t49;
    unsigned int t50;
    char *t51;
    int t52;
    unsigned int t53;
    unsigned int t54;
    char *t55;
    unsigned char t56;
    unsigned char t57;
    char *t58;
    char *t59;
    int t60;
    char *t61;
    int t62;
    int t63;
    unsigned int t64;
    char *t65;
    int t66;
    unsigned int t67;
    unsigned int t68;
    char *t69;

LAB0:    t6 = (1 - 1);
    t7 = (0 - t6);
    t8 = (t7 * -1);
    t8 = (t8 + 1);
    t8 = (t8 * 1U);
    t10 = (1 - 1);
    t11 = (t9 + 0U);
    t12 = (t11 + 0U);
    *((int *)t12) = t10;
    t12 = (t11 + 4U);
    *((int *)t12) = 0;
    t12 = (t11 + 8U);
    *((int *)t12) = -1;
    t13 = (0 - t10);
    t14 = (t13 * -1);
    t14 = (t14 + 1);
    t12 = (t11 + 12U);
    *((unsigned int *)t12) = t14;
    t12 = (t4 + 4U);
    t15 = ((IEEE_P_1242562249) + 3000);
    t16 = (t12 + 88U);
    *((char **)t16) = t15;
    t17 = (char *)alloca(t8);
    t18 = (t12 + 56U);
    *((char **)t18) = t17;
    xsi_type_set_default_value(t15, t17, t9);
    t19 = (t12 + 64U);
    *((char **)t19) = t9;
    t20 = (t12 + 80U);
    *((unsigned int *)t20) = t8;
    t21 = (t5 + 4U);
    t22 = (t2 != 0);
    if (t22 == 1)
        goto LAB3;

LAB2:    t23 = (t5 + 12U);
    *((char **)t23) = t3;
    t24 = (t12 + 56U);
    t25 = *((char **)t24);
    t24 = (t25 + 0);
    t26 = (t3 + 12U);
    t14 = *((unsigned int *)t26);
    t14 = (t14 * 1U);
    memcpy(t24, t2, t14);
    t6 = (1 - 1);
    t7 = 0;
    t10 = t6;

LAB4:    if (t7 <= t10)
        goto LAB5;

LAB7:    t11 = (t12 + 56U);
    t15 = *((char **)t11);
    t6 = ieee_p_1242562249_sub_1657552908_1035706684(IEEE_P_1242562249, t15, t9);
    t0 = t6;

LAB1:    return t0;
LAB3:    *((char **)t21) = t2;
    goto LAB2;

LAB5:    t11 = (t12 + 56U);
    t15 = *((char **)t11);
    t11 = (t9 + 0U);
    t13 = *((int *)t11);
    t16 = (t9 + 8U);
    t28 = *((int *)t16);
    t29 = (t7 - t13);
    t8 = (t29 * t28);
    t18 = (t9 + 4U);
    t30 = *((int *)t18);
    xsi_vhdl_check_range_of_index(t13, t30, t28, t7);
    t14 = (1U * t8);
    t31 = (0 + t14);
    t19 = (t15 + t31);
    t32 = *((unsigned char *)t19);
    t33 = (t32 == (unsigned char)1);
    if (t33 == 1)
        goto LAB14;

LAB15:    t20 = (t12 + 56U);
    t24 = *((char **)t20);
    t20 = (t9 + 0U);
    t34 = *((int *)t20);
    t25 = (t9 + 8U);
    t35 = *((int *)t25);
    t36 = (t7 - t34);
    t37 = (t36 * t35);
    t26 = (t9 + 4U);
    t38 = *((int *)t26);
    xsi_vhdl_check_range_of_index(t34, t38, t35, t7);
    t39 = (1U * t37);
    t40 = (0 + t39);
    t41 = (t24 + t40);
    t42 = *((unsigned char *)t41);
    t43 = (t42 == (unsigned char)4);
    t27 = t43;

LAB16:    if (t27 == 1)
        goto LAB11;

LAB12:    t44 = (t12 + 56U);
    t45 = *((char **)t44);
    t44 = (t9 + 0U);
    t46 = *((int *)t44);
    t47 = (t9 + 8U);
    t48 = *((int *)t47);
    t49 = (t7 - t46);
    t50 = (t49 * t48);
    t51 = (t9 + 4U);
    t52 = *((int *)t51);
    xsi_vhdl_check_range_of_index(t46, t52, t48, t7);
    t53 = (1U * t50);
    t54 = (0 + t53);
    t55 = (t45 + t54);
    t56 = *((unsigned char *)t55);
    t57 = (t56 == (unsigned char)0);
    t22 = t57;

LAB13:    if (t22 != 0)
        goto LAB8;

LAB10:
LAB9:
LAB6:    if (t7 == t10)
        goto LAB7;

LAB17:    t6 = (t7 + 1);
    t7 = t6;
    goto LAB4;

LAB8:    t58 = (t12 + 56U);
    t59 = *((char **)t58);
    t58 = (t9 + 0U);
    t60 = *((int *)t58);
    t61 = (t9 + 8U);
    t62 = *((int *)t61);
    t63 = (t7 - t60);
    t64 = (t63 * t62);
    t65 = (t9 + 4U);
    t66 = *((int *)t65);
    xsi_vhdl_check_range_of_index(t60, t66, t62, t7);
    t67 = (1U * t64);
    t68 = (0 + t67);
    t69 = (t59 + t68);
    *((unsigned char *)t69) = (unsigned char)2;
    goto LAB9;

LAB11:    t22 = (unsigned char)1;
    goto LAB13;

LAB14:    t27 = (unsigned char)1;
    goto LAB16;

LAB18:;
}
示例#13
0
unsigned char emc_common_v5_01_a_a_1319063213_3306564128_sub_237575211164114427_229454594(char *t1, char *t2, unsigned char t3, unsigned char t4)
{
    char t5[248];
    char t6[24];
    char t7[16];
    char t14[8];
    char t20[8];
    unsigned char t0;
    char *t8;
    char *t9;
    int t10;
    unsigned int t11;
    char *t12;
    char *t13;
    char *t15;
    char *t16;
    char *t17;
    char *t18;
    char *t19;
    char *t21;
    char *t22;
    char *t23;
    unsigned char t24;
    char *t25;
    char *t26;
    char *t27;
    unsigned char t28;
    char *t29;
    char *t30;
    int t31;
    int t32;
    int t33;
    int t34;
    int t35;
    unsigned int t36;
    unsigned int t37;
    unsigned char t38;
    unsigned char t39;

LAB0:    t8 = (t7 + 0U);
    t9 = (t8 + 0U);
    *((int *)t9) = 0;
    t9 = (t8 + 4U);
    *((int *)t9) = 7;
    t9 = (t8 + 8U);
    *((int *)t9) = 1;
    t10 = (7 - 0);
    t11 = (t10 * 1);
    t11 = (t11 + 1);
    t9 = (t8 + 12U);
    *((unsigned int *)t9) = t11;
    t9 = (t5 + 4U);
    t12 = ((IEEE_P_2592010699) + 3312);
    t13 = (t9 + 88U);
    *((char **)t13) = t12;
    t15 = (t9 + 56U);
    *((char **)t15) = t14;
    xsi_type_set_default_value(t12, t14, 0);
    t16 = (t9 + 80U);
    *((unsigned int *)t16) = 1U;
    t17 = (t5 + 124U);
    t18 = ((IEEE_P_2592010699) + 3312);
    t19 = (t17 + 88U);
    *((char **)t19) = t18;
    t21 = (t17 + 56U);
    *((char **)t21) = t20;
    xsi_type_set_default_value(t18, t20, 0);
    t22 = (t17 + 80U);
    *((unsigned int *)t22) = 1U;
    t23 = (t6 + 4U);
    t24 = (t2 != 0);
    if (t24 == 1)
        goto LAB3;

LAB2:    t25 = (t6 + 12U);
    *((char **)t25) = t7;
    t26 = (t6 + 20U);
    *((unsigned char *)t26) = t3;
    t27 = (t6 + 21U);
    *((unsigned char *)t27) = t4;
    t28 = (t4 == (unsigned char)2);
    if (t28 != 0)
        goto LAB4;

LAB6:    t24 = (t4 == (unsigned char)3);
    if (t24 != 0)
        goto LAB12;

LAB13:    t8 = (t17 + 56U);
    t12 = *((char **)t8);
    t8 = (t12 + 0);
    *((unsigned char *)t8) = (unsigned char)2;

LAB5:    t8 = (t17 + 56U);
    t12 = *((char **)t8);
    t24 = *((unsigned char *)t12);
    t28 = (t3 == t24);
    if (t28 != 0)
        goto LAB19;

LAB21:    t0 = (unsigned char)3;

LAB1:    return t0;
LAB3:    *((char **)t23) = t2;
    goto LAB2;

LAB4:    t29 = (t17 + 56U);
    t30 = *((char **)t29);
    t29 = (t30 + 0);
    *((unsigned char *)t29) = (unsigned char)2;
    t10 = 0;
    t31 = 7;

LAB7:    if (t10 <= t31)
        goto LAB8;

LAB10:    goto LAB5;

LAB8:    t8 = (t17 + 56U);
    t12 = *((char **)t8);
    t24 = *((unsigned char *)t12);
    t8 = (t7 + 0U);
    t32 = *((int *)t8);
    t13 = (t7 + 8U);
    t33 = *((int *)t13);
    t34 = (t10 - t32);
    t11 = (t34 * t33);
    t15 = (t7 + 4U);
    t35 = *((int *)t15);
    xsi_vhdl_check_range_of_index(t32, t35, t33, t10);
    t36 = (1U * t11);
    t37 = (0 + t36);
    t16 = (t2 + t37);
    t28 = *((unsigned char *)t16);
    t38 = ieee_p_2592010699_sub_3488768497506413324_503743352(IEEE_P_2592010699, t24, t28);
    t18 = (t17 + 56U);
    t19 = *((char **)t18);
    t18 = (t19 + 0);
    *((unsigned char *)t18) = t38;

LAB9:    if (t10 == t31)
        goto LAB10;

LAB11:    t32 = (t10 + 1);
    t10 = t32;
    goto LAB7;

LAB12:    t8 = (t17 + 56U);
    t12 = *((char **)t8);
    t8 = (t12 + 0);
    *((unsigned char *)t8) = (unsigned char)3;
    t10 = 0;
    t31 = 7;

LAB14:    if (t10 <= t31)
        goto LAB15;

LAB17:    goto LAB5;

LAB15:    t8 = (t17 + 56U);
    t12 = *((char **)t8);
    t24 = *((unsigned char *)t12);
    t8 = (t7 + 0U);
    t32 = *((int *)t8);
    t13 = (t7 + 8U);
    t33 = *((int *)t13);
    t34 = (t10 - t32);
    t11 = (t34 * t33);
    t15 = (t7 + 4U);
    t35 = *((int *)t15);
    xsi_vhdl_check_range_of_index(t32, t35, t33, t10);
    t36 = (1U * t11);
    t37 = (0 + t36);
    t16 = (t2 + t37);
    t28 = *((unsigned char *)t16);
    t38 = ieee_p_2592010699_sub_3488768497506413324_503743352(IEEE_P_2592010699, t24, t28);
    t39 = ieee_p_2592010699_sub_374109322130769762_503743352(IEEE_P_2592010699, t38);
    t18 = (t17 + 56U);
    t19 = *((char **)t18);
    t18 = (t19 + 0);
    *((unsigned char *)t18) = t39;

LAB16:    if (t10 == t31)
        goto LAB17;

LAB18:    t32 = (t10 + 1);
    t10 = t32;
    goto LAB14;

LAB19:    t0 = (unsigned char)2;
    goto LAB1;

LAB20:    xsi_error(ng1);
    t0 = 0;
    goto LAB1;

LAB22:    goto LAB20;

LAB23:    goto LAB20;

}
示例#14
0
unsigned char emc_common_v5_01_a_a_1319063213_3306564128_sub_4369197797187886665_229454594(char *t1, char *t2, unsigned char t3)
{
    char t4[128];
    char t5[24];
    char t6[16];
    char t13[8];
    unsigned char t0;
    char *t7;
    char *t8;
    int t9;
    unsigned int t10;
    char *t11;
    char *t12;
    char *t14;
    char *t15;
    char *t16;
    unsigned char t17;
    char *t18;
    char *t19;
    unsigned char t20;
    char *t21;
    char *t22;
    int t23;
    int t24;
    int t25;
    int t26;
    int t27;
    unsigned int t28;
    unsigned int t29;
    unsigned char t30;
    unsigned char t31;

LAB0:    t7 = (t6 + 0U);
    t8 = (t7 + 0U);
    *((int *)t8) = 0;
    t8 = (t7 + 4U);
    *((int *)t8) = 7;
    t8 = (t7 + 8U);
    *((int *)t8) = 1;
    t9 = (7 - 0);
    t10 = (t9 * 1);
    t10 = (t10 + 1);
    t8 = (t7 + 12U);
    *((unsigned int *)t8) = t10;
    t8 = (t4 + 4U);
    t11 = ((IEEE_P_2592010699) + 3312);
    t12 = (t8 + 88U);
    *((char **)t12) = t11;
    t14 = (t8 + 56U);
    *((char **)t14) = t13;
    xsi_type_set_default_value(t11, t13, 0);
    t15 = (t8 + 80U);
    *((unsigned int *)t15) = 1U;
    t16 = (t5 + 4U);
    t17 = (t2 != 0);
    if (t17 == 1)
        goto LAB3;

LAB2:    t18 = (t5 + 12U);
    *((char **)t18) = t6;
    t19 = (t5 + 20U);
    *((unsigned char *)t19) = t3;
    t20 = (t3 == (unsigned char)2);
    if (t20 != 0)
        goto LAB4;

LAB6:    t17 = (t3 == (unsigned char)3);
    if (t17 != 0)
        goto LAB12;

LAB13:    t7 = (t8 + 56U);
    t11 = *((char **)t7);
    t7 = (t11 + 0);
    *((unsigned char *)t7) = (unsigned char)2;

LAB5:    t7 = (t8 + 56U);
    t11 = *((char **)t7);
    t17 = *((unsigned char *)t11);
    t0 = t17;

LAB1:    return t0;
LAB3:    *((char **)t16) = t2;
    goto LAB2;

LAB4:    t21 = (t8 + 56U);
    t22 = *((char **)t21);
    t21 = (t22 + 0);
    *((unsigned char *)t21) = (unsigned char)2;
    t9 = 0;
    t23 = 7;

LAB7:    if (t9 <= t23)
        goto LAB8;

LAB10:    goto LAB5;

LAB8:    t7 = (t8 + 56U);
    t11 = *((char **)t7);
    t17 = *((unsigned char *)t11);
    t7 = (t6 + 0U);
    t24 = *((int *)t7);
    t12 = (t6 + 8U);
    t25 = *((int *)t12);
    t26 = (t9 - t24);
    t10 = (t26 * t25);
    t14 = (t6 + 4U);
    t27 = *((int *)t14);
    xsi_vhdl_check_range_of_index(t24, t27, t25, t9);
    t28 = (1U * t10);
    t29 = (0 + t28);
    t15 = (t2 + t29);
    t20 = *((unsigned char *)t15);
    t30 = ieee_p_2592010699_sub_3488768497506413324_503743352(IEEE_P_2592010699, t17, t20);
    t21 = (t8 + 56U);
    t22 = *((char **)t21);
    t21 = (t22 + 0);
    *((unsigned char *)t21) = t30;

LAB9:    if (t9 == t23)
        goto LAB10;

LAB11:    t24 = (t9 + 1);
    t9 = t24;
    goto LAB7;

LAB12:    t7 = (t8 + 56U);
    t11 = *((char **)t7);
    t7 = (t11 + 0);
    *((unsigned char *)t7) = (unsigned char)3;
    t9 = 0;
    t23 = 7;

LAB14:    if (t9 <= t23)
        goto LAB15;

LAB17:    goto LAB5;

LAB15:    t7 = (t8 + 56U);
    t11 = *((char **)t7);
    t17 = *((unsigned char *)t11);
    t7 = (t6 + 0U);
    t24 = *((int *)t7);
    t12 = (t6 + 8U);
    t25 = *((int *)t12);
    t26 = (t9 - t24);
    t10 = (t26 * t25);
    t14 = (t6 + 4U);
    t27 = *((int *)t14);
    xsi_vhdl_check_range_of_index(t24, t27, t25, t9);
    t28 = (1U * t10);
    t29 = (0 + t28);
    t15 = (t2 + t29);
    t20 = *((unsigned char *)t15);
    t30 = ieee_p_2592010699_sub_3488768497506413324_503743352(IEEE_P_2592010699, t17, t20);
    t31 = ieee_p_2592010699_sub_374109322130769762_503743352(IEEE_P_2592010699, t30);
    t21 = (t8 + 56U);
    t22 = *((char **)t21);
    t21 = (t22 + 0);
    *((unsigned char *)t21) = t31;

LAB16:    if (t9 == t23)
        goto LAB17;

LAB18:    t24 = (t9 + 1);
    t9 = t24;
    goto LAB14;

LAB19:;
}
char *axi_lite_ipif_v1_01_a_a_1696492163_3306564128_sub_1573467964_229454594(char *t1, char *t2)
{
    char t3[128];
    char t4[24];
    char t5[32];
    char t12[16];
    char t17[8];
    char *t0;
    char *t6;
    char *t7;
    int t8;
    unsigned int t9;
    char *t10;
    int t11;
    char *t13;
    int t14;
    char *t15;
    char *t16;
    char *t18;
    char *t19;
    char *t20;
    char *t21;
    char *t22;
    char *t23;
    unsigned char t24;
    char *t25;
    int t26;
    int t27;
    int t28;
    int t29;
    int t30;
    int t31;
    int t32;
    int t33;
    unsigned int t34;
    unsigned int t35;
    unsigned int t36;
    char *t37;
    int t38;
    int t39;
    int t40;
    unsigned int t41;
    int t42;
    int t43;
    unsigned int t44;
    unsigned int t45;
    unsigned int t46;
    char *t47;
    int t48;
    char *t49;
    char *t50;
    int t51;
    unsigned int t52;
    unsigned int t53;
    unsigned int t54;

LAB0:    t6 = (t5 + 0U);
    t7 = (t6 + 0U);
    *((int *)t7) = 0;
    t7 = (t6 + 4U);
    *((int *)t7) = 1;
    t7 = (t6 + 8U);
    *((int *)t7) = 1;
    t8 = (1 - 0);
    t9 = (t8 * 1);
    t9 = (t9 + 1);
    t7 = (t6 + 12U);
    *((unsigned int *)t7) = t9;
    t7 = (t5 + 16U);
    t10 = (t7 + 0U);
    *((int *)t10) = 0;
    t10 = (t7 + 4U);
    *((int *)t10) = 8;
    t10 = (t7 + 8U);
    *((int *)t10) = 1;
    t11 = (8 - 0);
    t9 = (t11 * 1);
    t9 = (t9 + 1);
    t10 = (t7 + 12U);
    *((unsigned int *)t10) = t9;
    t10 = (t12 + 0U);
    t13 = (t10 + 0U);
    *((int *)t13) = 0;
    t13 = (t10 + 4U);
    *((int *)t13) = 0;
    t13 = (t10 + 8U);
    *((int *)t13) = 1;
    t14 = (0 - 0);
    t9 = (t14 * 1);
    t9 = (t9 + 1);
    t13 = (t10 + 12U);
    *((unsigned int *)t13) = t9;
    t13 = (t3 + 4U);
    t15 = (t1 + 16328);
    t16 = (t13 + 88U);
    *((char **)t16) = t15;
    t18 = (t13 + 56U);
    *((char **)t18) = t17;
    xsi_type_set_default_value(t15, t17, 0);
    t19 = (t13 + 64U);
    t20 = (t15 + 80U);
    t21 = *((char **)t20);
    *((char **)t19) = t21;
    t22 = (t13 + 80U);
    *((unsigned int *)t22) = 4U;
    t23 = (t4 + 4U);
    t24 = (t2 != 0);
    if (t24 == 1)
        goto LAB3;

LAB2:    t25 = (t4 + 12U);
    *((char **)t25) = t5;
    t26 = (2U / 2);
    t27 = (t26 - 1);
    t28 = 0;
    t29 = t27;

LAB4:    if (t28 <= t29)
        goto LAB5;

LAB7:    t6 = (t13 + 56U);
    t7 = *((char **)t6);
    t24 = (4U != 4U);
    if (t24 == 1)
        goto LAB9;

LAB10:    t0 = xsi_get_transient_memory(4U);
    memcpy(t0, t7, 4U);

LAB1:    return t0;
LAB3:    *((char **)t23) = t2;
    goto LAB2;

LAB5:    t30 = (t28 * 2);
    t31 = (t30 - 0);
    t9 = (t31 * 1);
    xsi_vhdl_check_range_of_index(0, 1, 1, t30);
    t32 = (9 - 1);
    t33 = (t32 - 0);
    t34 = (t33 * 1);
    t34 = (t34 + 1);
    t34 = (t34 * 1U);
    t35 = (t34 * t9);
    t36 = (0 + t35);
    t37 = (t2 + t36);
    t38 = (t28 * 2);
    t39 = (t38 + 1);
    t40 = (t39 - 0);
    t41 = (t40 * 1);
    xsi_vhdl_check_range_of_index(0, 1, 1, t39);
    t42 = (9 - 1);
    t43 = (t42 - 0);
    t44 = (t43 * 1);
    t44 = (t44 + 1);
    t44 = (t44 * 1U);
    t45 = (t44 * t41);
    t46 = (0 + t45);
    t47 = (t2 + t46);
    t48 = axi_lite_ipif_v1_01_a_a_1696492163_3306564128_sub_4080491193_229454594(t1, t37, t47);
    t49 = (t13 + 56U);
    t50 = *((char **)t49);
    t51 = (t28 - 0);
    t52 = (t51 * 1);
    xsi_vhdl_check_range_of_index(0, 0, 1, t28);
    t53 = (4U * t52);
    t54 = (0 + t53);
    t49 = (t50 + t54);
    *((int *)t49) = t48;

LAB6:    if (t28 == t29)
        goto LAB7;

LAB8:    t8 = (t28 + 1);
    t28 = t8;
    goto LAB4;

LAB9:    xsi_size_not_matching(4U, 4U, 0);
    goto LAB10;

LAB11:;
}
示例#16
0
char *vl_p_2533777724_sub_1247862854_2471646204(char *t1, char *t2, char *t3, char *t4)
{
    char t5[128];
    char t6[24];
    char t7[16];
    char t18[16];
    char *t0;
    char *t8;
    unsigned int t9;
    int t10;
    char *t11;
    char *t12;
    int t13;
    unsigned int t14;
    int t15;
    int t16;
    unsigned int t17;
    char *t19;
    unsigned int t20;
    int t21;
    char *t22;
    char *t23;
    int t24;
    unsigned int t25;
    char *t26;
    char *t27;
    char *t28;
    char *t29;
    char *t30;
    char *t31;
    char *t32;
    unsigned char t33;
    char *t34;
    char *t35;
    int t36;
    char *t37;
    int t38;
    char *t39;
    int t40;
    int t41;
    int t42;
    int t43;
    int t44;
    char *t45;
    char *t46;
    int t47;
    char *t48;
    int t49;
    int t50;
    char *t51;
    int t52;
    unsigned int t53;
    unsigned int t54;
    char *t55;
    unsigned char t56;
    char *t57;
    char *t58;
    char *t59;
    int t60;
    char *t61;
    int t62;
    int t63;
    unsigned int t64;
    unsigned int t65;
    unsigned int t66;
    char *t67;
    static char *nl0[] = {&&LAB9, &&LAB10};

LAB0:    t8 = (t4 + 12U);
    t9 = *((unsigned int *)t8);
    t10 = (t9 - 1);
    t11 = (t7 + 0U);
    t12 = (t11 + 0U);
    *((int *)t12) = t10;
    t12 = (t11 + 4U);
    *((int *)t12) = 0;
    t12 = (t11 + 8U);
    *((int *)t12) = -1;
    t13 = (0 - t10);
    t14 = (t13 * -1);
    t14 = (t14 + 1);
    t12 = (t11 + 12U);
    *((unsigned int *)t12) = t14;
    t12 = (t4 + 12U);
    t14 = *((unsigned int *)t12);
    t15 = (t14 - 1);
    t16 = (0 - t15);
    t17 = (t16 * -1);
    t17 = (t17 + 1);
    t17 = (t17 * 1U);
    t19 = (t4 + 12U);
    t20 = *((unsigned int *)t19);
    t21 = (t20 - 1);
    t22 = (t18 + 0U);
    t23 = (t22 + 0U);
    *((int *)t23) = t21;
    t23 = (t22 + 4U);
    *((int *)t23) = 0;
    t23 = (t22 + 8U);
    *((int *)t23) = -1;
    t24 = (0 - t21);
    t25 = (t24 * -1);
    t25 = (t25 + 1);
    t23 = (t22 + 12U);
    *((unsigned int *)t23) = t25;
    t23 = (t5 + 4U);
    t26 = (t1 + 2688);
    t27 = (t23 + 88U);
    *((char **)t27) = t26;
    t28 = (char *)alloca(t17);
    t29 = (t23 + 56U);
    *((char **)t29) = t28;
    xsi_type_set_default_value(t26, t28, t18);
    t30 = (t23 + 64U);
    *((char **)t30) = t18;
    t31 = (t23 + 80U);
    *((unsigned int *)t31) = t17;
    t32 = (t6 + 4U);
    t33 = (t3 != 0);
    if (t33 == 1)
        goto LAB3;

LAB2:    t34 = (t6 + 12U);
    *((char **)t34) = t4;
    t35 = (t18 + 8U);
    t36 = *((int *)t35);
    t37 = (t18 + 4U);
    t38 = *((int *)t37);
    t39 = (t18 + 0U);
    t40 = *((int *)t39);
    t41 = t40;
    t42 = t38;

LAB4:    t43 = (t42 * t36);
    t44 = (t41 * t36);
    if (t44 <= t43)
        goto LAB5;

LAB7:    t8 = (t23 + 56U);
    t11 = *((char **)t8);
    t8 = (t18 + 12U);
    t9 = *((unsigned int *)t8);
    t9 = (t9 * 1U);
    t0 = xsi_get_transient_memory(t9);
    memcpy(t0, t11, t9);
    t12 = (t18 + 0U);
    t10 = *((int *)t12);
    t19 = (t18 + 4U);
    t13 = *((int *)t19);
    t22 = (t18 + 8U);
    t15 = *((int *)t22);
    t26 = (t2 + 0U);
    t27 = (t26 + 0U);
    *((int *)t27) = t10;
    t27 = (t26 + 4U);
    *((int *)t27) = t13;
    t27 = (t26 + 8U);
    *((int *)t27) = t15;
    t16 = (t13 - t10);
    t14 = (t16 * t15);
    t14 = (t14 + 1);
    t27 = (t26 + 12U);
    *((unsigned int *)t27) = t14;

LAB1:    return t0;
LAB3:    *((char **)t32) = t3;
    goto LAB2;

LAB5:    t45 = (t3 + 0);
    t46 = (t7 + 0U);
    t47 = *((int *)t46);
    t48 = (t7 + 8U);
    t49 = *((int *)t48);
    t50 = (t41 - t47);
    t25 = (t50 * t49);
    t51 = (t7 + 4U);
    t52 = *((int *)t51);
    xsi_vhdl_check_range_of_index(t47, t52, t49, t41);
    t53 = (1U * t25);
    t54 = (0 + t53);
    t55 = (t45 + t54);
    t56 = *((unsigned char *)t55);
    t57 = (char *)((nl0) + t56);
    goto **((char **)t57);

LAB6:    if (t41 == t42)
        goto LAB7;

LAB11:    t10 = (t41 + t36);
    t41 = t10;
    goto LAB4;

LAB8:    goto LAB6;

LAB9:    t58 = (t23 + 56U);
    t59 = *((char **)t58);
    t58 = (t18 + 0U);
    t60 = *((int *)t58);
    t61 = (t18 + 8U);
    t62 = *((int *)t61);
    t63 = (t41 - t60);
    t64 = (t63 * t62);
    t65 = (1U * t64);
    t66 = (0 + t65);
    t67 = (t59 + t66);
    *((unsigned char *)t67) = (unsigned char)2;
    goto LAB8;

LAB10:    t8 = (t23 + 56U);
    t11 = *((char **)t8);
    t8 = (t18 + 0U);
    t10 = *((int *)t8);
    t12 = (t18 + 8U);
    t13 = *((int *)t12);
    t15 = (t41 - t10);
    t9 = (t15 * t13);
    t14 = (1U * t9);
    t17 = (0 + t14);
    t19 = (t11 + t17);
    *((unsigned char *)t19) = (unsigned char)3;
    goto LAB8;

LAB12:;
}

char *vl_p_2533777724_sub_931687970_2471646204(char *t1, char *t2, char *t3, char *t4)
{
    char t5[128];
    char t6[24];
    char t7[16];
    char t18[16];
    char *t0;
    char *t8;
    unsigned int t9;
    int t10;
    char *t11;
    char *t12;
    int t13;
    unsigned int t14;
    int t15;
    int t16;
    unsigned int t17;
    char *t19;
    unsigned int t20;
    int t21;
    char *t22;
    char *t23;
    int t24;
    unsigned int t25;
    char *t26;
    char *t27;
    char *t28;
    char *t29;
    char *t30;
    char *t31;
    char *t32;
    unsigned char t33;
    char *t34;
    char *t35;
    int t36;
    char *t37;
    int t38;
    char *t39;
    int t40;
    int t41;
    int t42;
    int t43;
    int t44;
    char *t45;
    char *t46;
    int t47;
    char *t48;
    int t49;
    int t50;
    char *t51;
    int t52;
    unsigned int t53;
    unsigned int t54;
    char *t55;
    unsigned char t56;
    char *t57;
    char *t58;
    int t59;
    char *t60;
    int t61;
    int t62;
    unsigned int t63;
    unsigned int t64;
    unsigned int t65;
    char *t66;

LAB0:    t8 = (t4 + 12U);
    t9 = *((unsigned int *)t8);
    t10 = (t9 - 1);
    t11 = (t7 + 0U);
    t12 = (t11 + 0U);
    *((int *)t12) = t10;
    t12 = (t11 + 4U);
    *((int *)t12) = 0;
    t12 = (t11 + 8U);
    *((int *)t12) = -1;
    t13 = (0 - t10);
    t14 = (t13 * -1);
    t14 = (t14 + 1);
    t12 = (t11 + 12U);
    *((unsigned int *)t12) = t14;
    t12 = (t4 + 12U);
    t14 = *((unsigned int *)t12);
    t15 = (t14 - 1);
    t16 = (0 - t15);
    t17 = (t16 * -1);
    t17 = (t17 + 1);
    t17 = (t17 * 1U);
    t19 = (t4 + 12U);
    t20 = *((unsigned int *)t19);
    t21 = (t20 - 1);
    t22 = (t18 + 0U);
    t23 = (t22 + 0U);
    *((int *)t23) = t21;
    t23 = (t22 + 4U);
    *((int *)t23) = 0;
    t23 = (t22 + 8U);
    *((int *)t23) = -1;
    t24 = (0 - t21);
    t25 = (t24 * -1);
    t25 = (t25 + 1);
    t23 = (t22 + 12U);
    *((unsigned int *)t23) = t25;
    t23 = (t5 + 4U);
    t26 = (t1 + 2688);
    t27 = (t23 + 88U);
    *((char **)t27) = t26;
    t28 = (char *)alloca(t17);
    t29 = (t23 + 56U);
    *((char **)t29) = t28;
    xsi_type_set_default_value(t26, t28, t18);
    t30 = (t23 + 64U);
    *((char **)t30) = t18;
    t31 = (t23 + 80U);
    *((unsigned int *)t31) = t17;
    t32 = (t6 + 4U);
    t33 = (t3 != 0);
    if (t33 == 1)
        goto LAB3;

LAB2:    t34 = (t6 + 12U);
    *((char **)t34) = t4;
    t35 = (t18 + 8U);
    t36 = *((int *)t35);
    t37 = (t18 + 4U);
    t38 = *((int *)t37);
    t39 = (t18 + 0U);
    t40 = *((int *)t39);
    t41 = t40;
    t42 = t38;

LAB4:    t43 = (t42 * t36);
    t44 = (t41 * t36);
    if (t44 <= t43)
        goto LAB5;

LAB7:    t8 = (t23 + 56U);
    t11 = *((char **)t8);
    t8 = (t18 + 12U);
    t9 = *((unsigned int *)t8);
    t9 = (t9 * 1U);
    t0 = xsi_get_transient_memory(t9);
    memcpy(t0, t11, t9);
    t12 = (t18 + 0U);
    t10 = *((int *)t12);
    t19 = (t18 + 4U);
    t13 = *((int *)t19);
    t22 = (t18 + 8U);
    t15 = *((int *)t22);
    t26 = (t2 + 0U);
    t27 = (t26 + 0U);
    *((int *)t27) = t10;
    t27 = (t26 + 4U);
    *((int *)t27) = t13;
    t27 = (t26 + 8U);
    *((int *)t27) = t15;
    t16 = (t13 - t10);
    t14 = (t16 * t15);
    t14 = (t14 + 1);
    t27 = (t26 + 12U);
    *((unsigned int *)t27) = t14;

LAB1:    return t0;
LAB3:    *((char **)t32) = t3;
    goto LAB2;

LAB5:    t45 = (t3 + 0);
    t46 = (t7 + 0U);
    t47 = *((int *)t46);
    t48 = (t7 + 8U);
    t49 = *((int *)t48);
    t50 = (t41 - t47);
    t25 = (t50 * t49);
    t51 = (t7 + 4U);
    t52 = *((int *)t51);
    xsi_vhdl_check_range_of_index(t47, t52, t49, t41);
    t53 = (1U * t25);
    t54 = (0 + t53);
    t55 = (t45 + t54);
    t56 = *((unsigned char *)t55);
    t57 = (t23 + 56U);
    t58 = *((char **)t57);
    t57 = (t18 + 0U);
    t59 = *((int *)t57);
    t60 = (t18 + 8U);
    t61 = *((int *)t60);
    t62 = (t41 - t59);
    t63 = (t62 * t61);
    t64 = (1U * t63);
    t65 = (0 + t64);
    t66 = (t58 + t65);
    *((unsigned char *)t66) = t56;

LAB6:    if (t41 == t42)
        goto LAB7;

LAB8:    t10 = (t41 + t36);
    t41 = t10;
    goto LAB4;

LAB9:;
}
int axi_lite_ipif_v1_01_a_a_1696492163_3306564128_sub_3202688317_229454594(char *t1, char *t2, char *t3)
{
    char t4[488];
    char t5[24];
    char t11[8];
    char t17[8];
    char t23[8];
    char t29[8];
    int t0;
    char *t6;
    unsigned int t7;
    char *t8;
    char *t9;
    char *t10;
    char *t12;
    char *t13;
    char *t14;
    char *t15;
    char *t16;
    char *t18;
    char *t19;
    char *t20;
    char *t21;
    char *t22;
    char *t24;
    char *t25;
    char *t26;
    char *t27;
    char *t28;
    char *t30;
    char *t31;
    char *t32;
    unsigned char t33;
    char *t34;
    char *t35;
    char *t36;
    int t37;
    int t38;
    int t39;
    int t40;
    int t41;
    char *t42;
    int t43;
    int t44;
    unsigned int t45;
    char *t46;
    int t47;
    unsigned int t48;
    unsigned int t49;
    char *t50;
    int t51;
    int t52;
    char *t53;
    char *t54;

LAB0:    t6 = (t3 + 12U);
    t7 = *((unsigned int *)t6);
    t8 = (t4 + 4U);
    t9 = ((STD_STANDARD) + 384);
    t10 = (t8 + 88U);
    *((char **)t10) = t9;
    t12 = (t8 + 56U);
    *((char **)t12) = t11;
    *((unsigned int *)t11) = t7;
    t13 = (t8 + 80U);
    *((unsigned int *)t13) = 4U;
    t14 = (t4 + 124U);
    t15 = ((STD_STANDARD) + 384);
    t16 = (t14 + 88U);
    *((char **)t16) = t15;
    t18 = (t14 + 56U);
    *((char **)t18) = t17;
    *((int *)t17) = 2;
    t19 = (t14 + 80U);
    *((unsigned int *)t19) = 4U;
    t20 = (t4 + 244U);
    t21 = ((STD_STANDARD) + 384);
    t22 = (t20 + 88U);
    *((char **)t22) = t21;
    t24 = (t20 + 56U);
    *((char **)t24) = t23;
    *((int *)t23) = 0;
    t25 = (t20 + 80U);
    *((unsigned int *)t25) = 4U;
    t26 = (t4 + 364U);
    t27 = ((STD_STANDARD) + 384);
    t28 = (t26 + 88U);
    *((char **)t28) = t27;
    t30 = (t26 + 56U);
    *((char **)t30) = t29;
    *((int *)t29) = 0;
    t31 = (t26 + 80U);
    *((unsigned int *)t31) = 4U;
    t32 = (t5 + 4U);
    t33 = (t2 != 0);
    if (t33 == 1)
        goto LAB3;

LAB2:    t34 = (t5 + 12U);
    *((char **)t34) = t3;
    t35 = (t8 + 56U);
    t36 = *((char **)t35);
    t37 = *((int *)t36);
    t38 = (t37 - 1);
    t39 = 0;
    t40 = t38;

LAB4:    if (t39 <= t40)
        goto LAB5;

LAB7:    t6 = (t14 + 56U);
    t9 = *((char **)t6);
    t37 = *((int *)t9);
    t38 = proc_common_v3_00_a_p_2444876401_sub_639815527_3834616973(PROC_COMMON_V3_00_A_P_2444876401, t37);
    t6 = (t26 + 56U);
    t10 = *((char **)t6);
    t6 = (t10 + 0);
    *((int *)t6) = t38;
    t6 = (t26 + 56U);
    t9 = *((char **)t6);
    t37 = *((int *)t9);
    t0 = t37;

LAB1:    return t0;
LAB3:    *((char **)t32) = t2;
    goto LAB2;

LAB5:    t35 = (t3 + 0U);
    t41 = *((int *)t35);
    t42 = (t3 + 8U);
    t43 = *((int *)t42);
    t44 = (t39 - t41);
    t45 = (t44 * t43);
    t46 = (t3 + 4U);
    t47 = *((int *)t46);
    xsi_vhdl_check_range_of_index(t41, t47, t43, t39);
    t48 = (4U * t45);
    t49 = (0 + t48);
    t50 = (t2 + t49);
    t51 = *((int *)t50);
    t52 = (t51 * 4);
    t53 = (t20 + 56U);
    t54 = *((char **)t53);
    t53 = (t54 + 0);
    *((int *)t53) = t52;
    t6 = (t20 + 56U);
    t9 = *((char **)t6);
    t37 = *((int *)t9);
    t6 = (t14 + 56U);
    t10 = *((char **)t6);
    t38 = *((int *)t10);
    t33 = (t37 > t38);
    if (t33 != 0)
        goto LAB8;

LAB10:
LAB9:
LAB6:    if (t39 == t40)
        goto LAB7;

LAB11:    t37 = (t39 + 1);
    t39 = t37;
    goto LAB4;

LAB8:    t6 = (t20 + 56U);
    t12 = *((char **)t6);
    t41 = *((int *)t12);
    t6 = (t14 + 56U);
    t13 = *((char **)t6);
    t6 = (t13 + 0);
    *((int *)t6) = t41;
    goto LAB9;

LAB12:;
}
示例#18
0
char *vl_p_2533777724_sub_2121352984_2471646204(char *t1, char *t2, int t3)
{
    char t4[248];
    char t5[8];
    char t9[8];
    char t14[16];
    char t21[32];
    char *t0;
    char *t6;
    char *t7;
    char *t8;
    char *t10;
    char *t11;
    char *t12;
    char *t13;
    char *t15;
    char *t16;
    int t17;
    unsigned int t18;
    char *t19;
    char *t20;
    char *t22;
    char *t23;
    char *t24;
    char *t25;
    int t26;
    int t27;
    char *t28;
    char *t29;
    int t30;
    int t31;
    unsigned char t32;
    char *t33;
    int t34;
    char *t35;
    int t36;
    int t37;
    char *t38;
    int t39;
    unsigned int t40;
    unsigned int t41;
    char *t42;

LAB0:    t6 = (t4 + 4U);
    t7 = ((STD_STANDARD) + 384);
    t8 = (t6 + 88U);
    *((char **)t8) = t7;
    t10 = (t6 + 56U);
    *((char **)t10) = t9;
    *((int *)t9) = t3;
    t11 = (t6 + 80U);
    *((unsigned int *)t11) = 4U;
    t12 = (t1 + 4837);
    t15 = (t14 + 0U);
    t16 = (t15 + 0U);
    *((int *)t16) = 31;
    t16 = (t15 + 4U);
    *((int *)t16) = 0;
    t16 = (t15 + 8U);
    *((int *)t16) = -1;
    t17 = (0 - 31);
    t18 = (t17 * -1);
    t18 = (t18 + 1);
    t16 = (t15 + 12U);
    *((unsigned int *)t16) = t18;
    t16 = (t4 + 124U);
    t19 = ((STD_STANDARD) + 1112);
    t20 = (t16 + 88U);
    *((char **)t20) = t19;
    t22 = (t16 + 56U);
    *((char **)t22) = t21;
    memcpy(t21, t12, 32U);
    t23 = (t16 + 64U);
    *((char **)t23) = t14;
    t24 = (t16 + 80U);
    *((unsigned int *)t24) = 32U;
    t25 = (t5 + 4U);
    *((int *)t25) = t3;
    t26 = 0;
    t27 = 31;

LAB2:    if (t26 <= t27)
        goto LAB3;

LAB5:    t7 = (t16 + 56U);
    t8 = *((char **)t7);
    t7 = (t14 + 12U);
    t18 = *((unsigned int *)t7);
    t18 = (t18 * 1U);
    t0 = xsi_get_transient_memory(t18);
    memcpy(t0, t8, t18);
    t10 = (t14 + 0U);
    t17 = *((int *)t10);
    t11 = (t14 + 4U);
    t26 = *((int *)t11);
    t12 = (t14 + 8U);
    t27 = *((int *)t12);
    t13 = (t2 + 0U);
    t15 = (t13 + 0U);
    *((int *)t15) = t17;
    t15 = (t13 + 4U);
    *((int *)t15) = t26;
    t15 = (t13 + 8U);
    *((int *)t15) = t27;
    t30 = (t26 - t17);
    t40 = (t30 * t27);
    t40 = (t40 + 1);
    t15 = (t13 + 12U);
    *((unsigned int *)t15) = t40;

LAB1:    return t0;
LAB3:    t28 = (t6 + 56U);
    t29 = *((char **)t28);
    t30 = *((int *)t29);
    t31 = xsi_vhdl_mod(t30, 2);
    t32 = (t31 != 0);
    if (t32 != 0)
        goto LAB6;

LAB8:
LAB7:    t7 = (t6 + 56U);
    t8 = *((char **)t7);
    t17 = *((int *)t8);
    t30 = (t17 / 2);
    t7 = (t6 + 56U);
    t10 = *((char **)t7);
    t7 = (t10 + 0);
    *((int *)t7) = t30;

LAB4:    if (t26 == t27)
        goto LAB5;

LAB9:    t17 = (t26 + 1);
    t26 = t17;
    goto LAB2;

LAB6:    t28 = (t16 + 56U);
    t33 = *((char **)t28);
    t28 = (t14 + 0U);
    t34 = *((int *)t28);
    t35 = (t14 + 8U);
    t36 = *((int *)t35);
    t37 = (t26 - t34);
    t18 = (t37 * t36);
    t38 = (t14 + 4U);
    t39 = *((int *)t38);
    xsi_vhdl_check_range_of_index(t34, t39, t36, t26);
    t40 = (1U * t18);
    t41 = (0 + t40);
    t42 = (t33 + t41);
    *((unsigned char *)t42) = (unsigned char)1;
    goto LAB7;

LAB10:;
}
static void work_a_3098946169_3212880686_p_0(char *t0)
{
    char *t1;
    char *t2;
    unsigned char t3;
    unsigned char t4;
    char *t5;
    char *t6;
    char *t7;
    int t8;
    unsigned int t9;
    unsigned int t10;
    unsigned int t11;
    int t12;
    char *t13;
    char *t14;
    char *t15;

LAB0:    xsi_set_current_line(32, ng0);
    t1 = (t0 + 1032U);
    t2 = *((char **)t1);
    t3 = *((unsigned char *)t2);
    t4 = (t3 == (unsigned char)3);
    if (t4 != 0)
        goto LAB2;

LAB4:
LAB3:    xsi_set_current_line(41, ng0);
    t1 = (t0 + 1472U);
    t3 = ieee_p_2592010699_sub_1258338084_2592010699(IEEE_P_2592010699, t1, 0U, 0U);
    if (t3 != 0)
        goto LAB5;

LAB7:
LAB6:    t1 = (t0 + 4000);
    *((int *)t1) = 1;

LAB1:    return;
LAB2:    xsi_set_current_line(36, ng0);
    t1 = (t0 + 8552);
    t6 = (t0 + 2328U);
    t7 = *((char **)t6);
    t8 = (0 - 0);
    t9 = (t8 * 1);
    t10 = (32U * t9);
    t11 = (0 + t10);
    t6 = (t7 + t11);
    memcpy(t6, t1, 32U);
    xsi_set_current_line(37, ng0);
    t1 = (t0 + 8584);
    t5 = (t0 + 2328U);
    t6 = *((char **)t5);
    t8 = (1 - 0);
    t9 = (t8 * 1);
    t10 = (32U * t9);
    t11 = (0 + t10);
    t5 = (t6 + t11);
    memcpy(t5, t1, 32U);
    xsi_set_current_line(38, ng0);
    t1 = (t0 + 8616);
    t5 = (t0 + 2328U);
    t6 = *((char **)t5);
    t8 = (2 - 0);
    t9 = (t8 * 1);
    t10 = (32U * t9);
    t11 = (0 + t10);
    t5 = (t6 + t11);
    memcpy(t5, t1, 32U);
    goto LAB3;

LAB5:    xsi_set_current_line(42, ng0);
    t2 = (t0 + 1672U);
    t5 = *((char **)t2);
    t2 = (t0 + 7392U);
    t8 = ieee_p_0774719531_sub_378705076_774719531(IEEE_P_0774719531, t5, t2);
    t6 = (t0 + 2448U);
    t7 = *((char **)t6);
    t6 = (t7 + 0);
    *((int *)t6) = t8;
    xsi_set_current_line(43, ng0);
    t1 = (t0 + 2328U);
    t2 = *((char **)t1);
    t1 = (t0 + 2448U);
    t5 = *((char **)t1);
    t8 = *((int *)t5);
    t12 = (t8 - 0);
    t9 = (t12 * 1);
    xsi_vhdl_check_range_of_index(0, 31, 1, t8);
    t10 = (32U * t9);
    t11 = (0 + t10);
    t1 = (t2 + t11);
    t6 = (t0 + 4096);
    t7 = (t6 + 56U);
    t13 = *((char **)t7);
    t14 = (t13 + 56U);
    t15 = *((char **)t14);
    memcpy(t15, t1, 32U);
    xsi_driver_first_trans_fast_port(t6);
    goto LAB6;

}
示例#20
0
unsigned char vl_p_2533777724_sub_1358648489_2471646204(char *t1, char *t2, char *t3)
{
    char t4[248];
    char t5[24];
    char t9[8];
    char t15[8];
    unsigned char t0;
    char *t6;
    char *t7;
    char *t8;
    char *t10;
    char *t11;
    char *t12;
    char *t13;
    char *t14;
    char *t16;
    char *t17;
    char *t18;
    unsigned char t19;
    char *t20;
    char *t21;
    unsigned int t22;
    unsigned char t23;
    char *t24;
    unsigned int t25;
    char *t26;
    char *t27;
    int t28;
    int t29;
    int t30;
    int t31;
    int t32;
    int t33;
    int t34;
    int t35;
    unsigned int t36;
    int t37;
    int t38;
    int t39;

LAB0:    t6 = (t4 + 4U);
    t7 = ((STD_STANDARD) + 384);
    t8 = (t6 + 88U);
    *((char **)t8) = t7;
    t10 = (t6 + 56U);
    *((char **)t10) = t9;
    xsi_type_set_default_value(t7, t9, 0);
    t11 = (t6 + 80U);
    *((unsigned int *)t11) = 4U;
    t12 = (t4 + 124U);
    t13 = ((STD_STANDARD) + 384);
    t14 = (t12 + 88U);
    *((char **)t14) = t13;
    t16 = (t12 + 56U);
    *((char **)t16) = t15;
    *((int *)t15) = 0;
    t17 = (t12 + 80U);
    *((unsigned int *)t17) = 4U;
    t18 = (t5 + 4U);
    t19 = (t2 != 0);
    if (t19 == 1)
        goto LAB3;

LAB2:    t20 = (t5 + 12U);
    *((char **)t20) = t3;
    t21 = (t3 + 12U);
    t22 = *((unsigned int *)t21);
    t23 = (t22 < 8);
    if (t23 != 0)
        goto LAB4;

LAB6:    t7 = (t6 + 56U);
    t8 = *((char **)t7);
    t7 = (t8 + 0);
    *((int *)t7) = 8;

LAB5:    t7 = (t6 + 56U);
    t8 = *((char **)t7);
    t28 = *((int *)t8);
    t29 = (t28 - 1);
    t30 = 0;
    t31 = t29;

LAB7:    if (t30 <= t31)
        goto LAB8;

LAB10:    t7 = (t12 + 56U);
    t8 = *((char **)t7);
    t28 = *((int *)t8);
    t19 = ((unsigned char)(t28));
    t0 = t19;

LAB1:    return t0;
LAB3:    *((char **)t18) = t2;
    goto LAB2;

LAB4:    t24 = (t3 + 12U);
    t25 = *((unsigned int *)t24);
    t26 = (t6 + 56U);
    t27 = *((char **)t26);
    t26 = (t27 + 0);
    *((int *)t26) = t25;
    goto LAB5;

LAB8:    t7 = (t3 + 0U);
    t32 = *((int *)t7);
    t10 = (t3 + 8U);
    t33 = *((int *)t10);
    t34 = (t30 - t32);
    t22 = (t34 * t33);
    t11 = (t3 + 4U);
    t35 = *((int *)t11);
    xsi_vhdl_check_range_of_index(t32, t35, t33, t30);
    t25 = (1U * t22);
    t36 = (0 + t25);
    t13 = (t2 + t36);
    t19 = *((unsigned char *)t13);
    t23 = (t19 == (unsigned char)1);
    if (t23 != 0)
        goto LAB11;

LAB13:
LAB12:
LAB9:    if (t30 == t31)
        goto LAB10;

LAB14:    t28 = (t30 + 1);
    t30 = t28;
    goto LAB7;

LAB11:    t14 = (t12 + 56U);
    t16 = *((char **)t14);
    t37 = *((int *)t16);
    t38 = xsi_vhdl_pow(2, t30);
    t39 = (t37 + t38);
    t14 = (t12 + 56U);
    t17 = *((char **)t14);
    t14 = (t17 + 0);
    *((int *)t14) = t39;
    goto LAB12;

LAB15:;
}
示例#21
0
static void work_a_1888889153_3212880686_p_0(char *t0)
{
    char t17[16];
    char t18[16];
    char *t1;
    char *t2;
    char *t3;
    char *t4;
    int t5;
    int t6;
    int t7;
    int t8;
    unsigned int t9;
    unsigned int t10;
    unsigned int t11;
    char *t12;
    unsigned char t13;
    unsigned char t14;
    char *t15;
    char *t16;
    char *t19;
    char *t20;
    char *t21;
    char *t22;
    char *t23;
    char *t24;
    char *t25;
    char *t26;

LAB0:    xsi_set_current_line(59, ng0);
    t1 = (t0 + 5909);
    t3 = (t0 + 2128U);
    t4 = *((char **)t3);
    t3 = (t4 + 0);
    memcpy(t3, t1, 8U);
    xsi_set_current_line(60, ng0);
    t1 = (t0 + 2248U);
    t2 = *((char **)t1);
    t1 = (t2 + 0);
    *((unsigned char *)t1) = (unsigned char)3;
    xsi_set_current_line(62, ng0);
    t1 = (t0 + 5917);
    *((int *)t1) = 23;
    t2 = (t0 + 5921);
    *((int *)t2) = 0;
    t5 = 23;
    t6 = 0;

LAB2:    if (t5 >= t6)
        goto LAB3;

LAB5:    xsi_set_current_line(77, ng0);
    t1 = (t0 + 2128U);
    t2 = *((char **)t1);
    t1 = (t0 + 5820U);
    t3 = (t0 + 1352U);
    t4 = *((char **)t3);
    t3 = (t0 + 5772U);
    t13 = ieee_p_1242562249_sub_2110339434_1035706684(IEEE_P_1242562249, t2, t1, t4, t3);
    if (t13 != 0)
        goto LAB12;

LAB14:    t1 = (t0 + 2128U);
    t2 = *((char **)t1);
    t1 = (t0 + 5820U);
    t3 = (t0 + 1352U);
    t4 = *((char **)t3);
    t3 = (t0 + 5772U);
    t13 = ieee_p_1242562249_sub_2110411308_1035706684(IEEE_P_1242562249, t2, t1, t4, t3);
    if (t13 != 0)
        goto LAB19;

LAB20:    xsi_set_current_line(94, ng0);
    t1 = (t0 + 5964);
    t3 = (t0 + 3696);
    t4 = (t3 + 56U);
    t12 = *((char **)t4);
    t15 = (t12 + 56U);
    t16 = *((char **)t15);
    memcpy(t16, t1, 23U);
    xsi_driver_first_trans_fast_port(t3);
    xsi_set_current_line(95, ng0);
    t1 = (t0 + 5987);
    t3 = (t0 + 3760);
    t4 = (t3 + 56U);
    t12 = *((char **)t4);
    t15 = (t12 + 56U);
    t16 = *((char **)t15);
    memcpy(t16, t1, 8U);
    xsi_driver_first_trans_fast_port(t3);
    xsi_set_current_line(96, ng0);
    t1 = (t0 + 3824);
    t2 = (t1 + 56U);
    t3 = *((char **)t2);
    t4 = (t3 + 56U);
    t12 = *((char **)t4);
    *((unsigned char *)t12) = (unsigned char)2;
    xsi_driver_first_trans_fast_port(t1);

LAB13:    t1 = (t0 + 3552);
    *((int *)t1) = 1;

LAB1:    return;
LAB3:    xsi_set_current_line(64, ng0);
    t3 = (t0 + 1032U);
    t4 = *((char **)t3);
    t3 = (t0 + 5917);
    t7 = *((int *)t3);
    t8 = (t7 - 23);
    t9 = (t8 * -1);
    xsi_vhdl_check_range_of_index(23, 0, -1, *((int *)t3));
    t10 = (1U * t9);
    t11 = (0 + t10);
    t12 = (t4 + t11);
    t13 = *((unsigned char *)t12);
    t14 = (t13 == (unsigned char)3);
    if (t14 != 0)
        goto LAB6;

LAB8:    t1 = (t0 + 2248U);
    t2 = *((char **)t1);
    t13 = *((unsigned char *)t2);
    t14 = (t13 == (unsigned char)3);
    if (t14 != 0)
        goto LAB9;

LAB10:
LAB7:
LAB4:    t1 = (t0 + 5917);
    t5 = *((int *)t1);
    t2 = (t0 + 5921);
    t6 = *((int *)t2);
    if (t5 == t6)
        goto LAB5;

LAB11:    t7 = (t5 + -1);
    t5 = t7;
    t3 = (t0 + 5917);
    *((int *)t3) = t5;
    goto LAB2;

LAB6:    xsi_set_current_line(66, ng0);
    t15 = (t0 + 2248U);
    t16 = *((char **)t15);
    t15 = (t16 + 0);
    *((unsigned char *)t15) = (unsigned char)2;
    goto LAB7;

LAB9:    xsi_set_current_line(70, ng0);
    t1 = (t0 + 2128U);
    t3 = *((char **)t1);
    t1 = (t0 + 5820U);
    t4 = (t0 + 5925);
    t15 = (t18 + 0U);
    t16 = (t15 + 0U);
    *((int *)t16) = 0;
    t16 = (t15 + 4U);
    *((int *)t16) = 7;
    t16 = (t15 + 8U);
    *((int *)t16) = 1;
    t7 = (7 - 0);
    t9 = (t7 * 1);
    t9 = (t9 + 1);
    t16 = (t15 + 12U);
    *((unsigned int *)t16) = t9;
    t16 = ieee_p_1242562249_sub_1547198987_1035706684(IEEE_P_1242562249, t17, t3, t1, t4, t18);
    t19 = (t0 + 2128U);
    t20 = *((char **)t19);
    t19 = (t20 + 0);
    t21 = (t17 + 12U);
    t9 = *((unsigned int *)t21);
    t10 = (1U * t9);
    memcpy(t19, t16, t10);
    goto LAB7;

LAB12:    xsi_set_current_line(79, ng0);
    t12 = (t0 + 1032U);
    t15 = *((char **)t12);
    t12 = (t0 + 5740U);
    t16 = (t0 + 2128U);
    t19 = *((char **)t16);
    t16 = (t0 + 5820U);
    t5 = ieee_p_1242562249_sub_1657552908_1035706684(IEEE_P_1242562249, t19, t16);
    t20 = ieee_p_1242562249_sub_2540846514_1035706684(IEEE_P_1242562249, t17, t15, t12, t5);
    t21 = (t17 + 12U);
    t9 = *((unsigned int *)t21);
    t10 = (1U * t9);
    t14 = (24U != t10);
    if (t14 == 1)
        goto LAB15;

LAB16:    t22 = (t0 + 3632);
    t23 = (t22 + 56U);
    t24 = *((char **)t23);
    t25 = (t24 + 56U);
    t26 = *((char **)t25);
    memcpy(t26, t20, 24U);
    xsi_driver_first_trans_fast(t22);
    xsi_set_current_line(80, ng0);
    t1 = (t0 + 1832U);
    t2 = *((char **)t1);
    t9 = (23 - 22);
    t10 = (t9 * 1U);
    t11 = (0 + t10);
    t1 = (t2 + t11);
    t3 = (t0 + 3696);
    t4 = (t3 + 56U);
    t12 = *((char **)t4);
    t15 = (t12 + 56U);
    t16 = *((char **)t15);
    memcpy(t16, t1, 23U);
    xsi_driver_first_trans_fast_port(t3);
    xsi_set_current_line(81, ng0);
    t1 = (t0 + 1352U);
    t2 = *((char **)t1);
    t1 = (t0 + 5772U);
    t3 = (t0 + 2128U);
    t4 = *((char **)t3);
    t3 = (t0 + 5820U);
    t12 = ieee_p_3620187407_sub_767740470_3965413181(IEEE_P_3620187407, t17, t2, t1, t4, t3);
    t15 = (t17 + 12U);
    t9 = *((unsigned int *)t15);
    t10 = (1U * t9);
    t13 = (8U != t10);
    if (t13 == 1)
        goto LAB17;

LAB18:    t16 = (t0 + 3760);
    t19 = (t16 + 56U);
    t20 = *((char **)t19);
    t21 = (t20 + 56U);
    t22 = *((char **)t21);
    memcpy(t22, t12, 8U);
    xsi_driver_first_trans_fast_port(t16);
    xsi_set_current_line(82, ng0);
    t1 = (t0 + 3824);
    t2 = (t1 + 56U);
    t3 = *((char **)t2);
    t4 = (t3 + 56U);
    t12 = *((char **)t4);
    *((unsigned char *)t12) = (unsigned char)2;
    xsi_driver_first_trans_fast_port(t1);
    goto LAB13;

LAB15:    xsi_size_not_matching(24U, t10, 0);
    goto LAB16;

LAB17:    xsi_size_not_matching(8U, t10, 0);
    goto LAB18;

LAB19:    xsi_set_current_line(88, ng0);
    t12 = (t0 + 5933);
    t16 = (t0 + 3696);
    t19 = (t16 + 56U);
    t20 = *((char **)t19);
    t21 = (t20 + 56U);
    t22 = *((char **)t21);
    memcpy(t22, t12, 23U);
    xsi_driver_first_trans_fast_port(t16);
    xsi_set_current_line(89, ng0);
    t1 = (t0 + 5956);
    t3 = (t0 + 3760);
    t4 = (t3 + 56U);
    t12 = *((char **)t4);
    t15 = (t12 + 56U);
    t16 = *((char **)t15);
    memcpy(t16, t1, 8U);
    xsi_driver_first_trans_fast_port(t3);
    xsi_set_current_line(90, ng0);
    t1 = (t0 + 3824);
    t2 = (t1 + 56U);
    t3 = *((char **)t2);
    t4 = (t3 + 56U);
    t12 = *((char **)t4);
    *((unsigned char *)t12) = (unsigned char)3;
    xsi_driver_first_trans_fast_port(t1);
    goto LAB13;

}
示例#22
0
unsigned char vl_p_2533777724_sub_1729908555_2471646204(char *t1, unsigned char t2, unsigned char t3)
{
    char t5[8];
    unsigned char t0;
    char *t6;
    char *t7;
    char *t8;
    static char *nl0[] = {&&LAB5, &&LAB5, &&LAB3, &&LAB4, &&LAB5, &&LAB5, &&LAB3, &&LAB4, &&LAB5};

LAB0:    t6 = (t5 + 4U);
    *((unsigned char *)t6) = t2;
    t7 = (t5 + 5U);
    *((unsigned char *)t7) = t3;
    t8 = (char *)((nl0) + t2);
    goto **((char **)t8);

LAB2:    xsi_error(ng0);
    t0 = 0;

LAB1:    return t0;
LAB3:    t0 = (unsigned char)0;
    goto LAB1;

LAB4:    t0 = (unsigned char)1;
    goto LAB1;

LAB5:    t0 = t3;
    goto LAB1;

LAB6:    goto LAB2;

LAB7:    goto LAB2;

LAB8:    goto LAB2;

}

char *vl_p_2533777724_sub_4034401484_2471646204(char *t1, char *t2, char *t3, char *t4, unsigned char t5)
{
    char t6[128];
    char t7[24];
    char t8[16];
    char t19[16];
    char *t0;
    char *t9;
    unsigned int t10;
    int t11;
    char *t12;
    char *t13;
    int t14;
    unsigned int t15;
    int t16;
    int t17;
    unsigned int t18;
    char *t20;
    unsigned int t21;
    int t22;
    char *t23;
    char *t24;
    int t25;
    unsigned int t26;
    char *t27;
    char *t28;
    char *t29;
    char *t30;
    char *t31;
    char *t32;
    char *t33;
    unsigned char t34;
    char *t35;
    char *t36;
    char *t37;
    int t38;
    char *t39;
    int t40;
    char *t41;
    int t42;
    int t43;
    int t44;
    int t45;
    int t46;
    char *t47;
    char *t48;
    int t49;
    char *t50;
    int t51;
    int t52;
    char *t53;
    int t54;
    unsigned int t55;
    unsigned int t56;
    char *t57;
    unsigned char t58;
    char *t59;
    char *t60;
    char *t61;
    int t62;
    char *t63;
    int t64;
    int t65;
    unsigned int t66;
    unsigned int t67;
    unsigned int t68;
    char *t69;
    static char *nl0[] = {&&LAB11, &&LAB11, &&LAB9, &&LAB10, &&LAB11, &&LAB11, &&LAB9, &&LAB10, &&LAB11};

LAB0:    t9 = (t4 + 12U);
    t10 = *((unsigned int *)t9);
    t11 = (t10 - 1);
    t12 = (t8 + 0U);
    t13 = (t12 + 0U);
    *((int *)t13) = t11;
    t13 = (t12 + 4U);
    *((int *)t13) = 0;
    t13 = (t12 + 8U);
    *((int *)t13) = -1;
    t14 = (0 - t11);
    t15 = (t14 * -1);
    t15 = (t15 + 1);
    t13 = (t12 + 12U);
    *((unsigned int *)t13) = t15;
    t13 = (t4 + 12U);
    t15 = *((unsigned int *)t13);
    t16 = (t15 - 1);
    t17 = (0 - t16);
    t18 = (t17 * -1);
    t18 = (t18 + 1);
    t18 = (t18 * 1U);
    t20 = (t4 + 12U);
    t21 = *((unsigned int *)t20);
    t22 = (t21 - 1);
    t23 = (t19 + 0U);
    t24 = (t23 + 0U);
    *((int *)t24) = t22;
    t24 = (t23 + 4U);
    *((int *)t24) = 0;
    t24 = (t23 + 8U);
    *((int *)t24) = -1;
    t25 = (0 - t22);
    t26 = (t25 * -1);
    t26 = (t26 + 1);
    t24 = (t23 + 12U);
    *((unsigned int *)t24) = t26;
    t24 = (t6 + 4U);
    t27 = ((STD_STANDARD) + 1112);
    t28 = (t24 + 88U);
    *((char **)t28) = t27;
    t29 = (char *)alloca(t18);
    t30 = (t24 + 56U);
    *((char **)t30) = t29;
    xsi_type_set_default_value(t27, t29, t19);
    t31 = (t24 + 64U);
    *((char **)t31) = t19;
    t32 = (t24 + 80U);
    *((unsigned int *)t32) = t18;
    t33 = (t7 + 4U);
    t34 = (t3 != 0);
    if (t34 == 1)
        goto LAB3;

LAB2:    t35 = (t7 + 12U);
    *((char **)t35) = t4;
    t36 = (t7 + 20U);
    *((unsigned char *)t36) = t5;
    t37 = (t19 + 8U);
    t38 = *((int *)t37);
    t39 = (t19 + 4U);
    t40 = *((int *)t39);
    t41 = (t19 + 0U);
    t42 = *((int *)t41);
    t43 = t42;
    t44 = t40;

LAB4:    t45 = (t44 * t38);
    t46 = (t43 * t38);
    if (t46 <= t45)
        goto LAB5;

LAB7:    t9 = (t24 + 56U);
    t12 = *((char **)t9);
    t9 = (t19 + 12U);
    t10 = *((unsigned int *)t9);
    t10 = (t10 * 1U);
    t0 = xsi_get_transient_memory(t10);
    memcpy(t0, t12, t10);
    t13 = (t19 + 0U);
    t11 = *((int *)t13);
    t20 = (t19 + 4U);
    t14 = *((int *)t20);
    t23 = (t19 + 8U);
    t16 = *((int *)t23);
    t27 = (t2 + 0U);
    t28 = (t27 + 0U);
    *((int *)t28) = t11;
    t28 = (t27 + 4U);
    *((int *)t28) = t14;
    t28 = (t27 + 8U);
    *((int *)t28) = t16;
    t17 = (t14 - t11);
    t15 = (t17 * t16);
    t15 = (t15 + 1);
    t28 = (t27 + 12U);
    *((unsigned int *)t28) = t15;

LAB1:    return t0;
LAB3:    *((char **)t33) = t3;
    goto LAB2;

LAB5:    t47 = (t3 + 0);
    t48 = (t8 + 0U);
    t49 = *((int *)t48);
    t50 = (t8 + 8U);
    t51 = *((int *)t50);
    t52 = (t43 - t49);
    t26 = (t52 * t51);
    t53 = (t8 + 4U);
    t54 = *((int *)t53);
    xsi_vhdl_check_range_of_index(t49, t54, t51, t43);
    t55 = (1U * t26);
    t56 = (0 + t55);
    t57 = (t47 + t56);
    t58 = *((unsigned char *)t57);
    t59 = (char *)((nl0) + t58);
    goto **((char **)t59);

LAB6:    if (t43 == t44)
        goto LAB7;

LAB12:    t11 = (t43 + t38);
    t43 = t11;
    goto LAB4;

LAB8:    goto LAB6;

LAB9:    t60 = (t24 + 56U);
    t61 = *((char **)t60);
    t60 = (t19 + 0U);
    t62 = *((int *)t60);
    t63 = (t19 + 8U);
    t64 = *((int *)t63);
    t65 = (t43 - t62);
    t66 = (t65 * t64);
    t67 = (1U * t66);
    t68 = (0 + t67);
    t69 = (t61 + t68);
    *((unsigned char *)t69) = (unsigned char)0;
    goto LAB8;

LAB10:    t9 = (t24 + 56U);
    t12 = *((char **)t9);
    t9 = (t19 + 0U);
    t11 = *((int *)t9);
    t13 = (t19 + 8U);
    t14 = *((int *)t13);
    t16 = (t43 - t11);
    t10 = (t16 * t14);
    t15 = (1U * t10);
    t18 = (0 + t15);
    t20 = (t12 + t18);
    *((unsigned char *)t20) = (unsigned char)1;
    goto LAB8;

LAB11:    t9 = (t24 + 56U);
    t12 = *((char **)t9);
    t9 = (t19 + 0U);
    t11 = *((int *)t9);
    t13 = (t19 + 8U);
    t14 = *((int *)t13);
    t16 = (t43 - t11);
    t10 = (t16 * t14);
    t15 = (1U * t10);
    t18 = (0 + t15);
    t20 = (t12 + t18);
    *((unsigned char *)t20) = t5;
    goto LAB8;

LAB13:;
}

char *vl_p_2533777724_sub_3213780386_2471646204(char *t1, char *t2, char *t3, char *t4, unsigned char t5)
{
    char t6[128];
    char t7[24];
    char t8[16];
    char t19[16];
    char *t0;
    char *t9;
    unsigned int t10;
    int t11;
    char *t12;
    char *t13;
    int t14;
    unsigned int t15;
    int t16;
    int t17;
    unsigned int t18;
    char *t20;
    unsigned int t21;
    int t22;
    char *t23;
    char *t24;
    int t25;
    unsigned int t26;
    char *t27;
    char *t28;
    char *t29;
    char *t30;
    char *t31;
    char *t32;
    char *t33;
    unsigned char t34;
    char *t35;
    char *t36;
    char *t37;
    int t38;
    char *t39;
    int t40;
    char *t41;
    int t42;
    int t43;
    int t44;
    int t45;
    int t46;
    char *t47;
    char *t48;
    int t49;
    char *t50;
    int t51;
    int t52;
    char *t53;
    int t54;
    unsigned int t55;
    unsigned int t56;
    char *t57;
    unsigned char t58;
    char *t59;
    char *t60;
    char *t61;
    int t62;
    char *t63;
    int t64;
    int t65;
    unsigned int t66;
    unsigned int t67;
    unsigned int t68;
    char *t69;
    static char *nl0[] = {&&LAB11, &&LAB11, &&LAB9, &&LAB10, &&LAB11, &&LAB11, &&LAB9, &&LAB10, &&LAB11};

LAB0:    t9 = (t4 + 12U);
    t10 = *((unsigned int *)t9);
    t11 = (t10 - 1);
    t12 = (t8 + 0U);
    t13 = (t12 + 0U);
    *((int *)t13) = t11;
    t13 = (t12 + 4U);
    *((int *)t13) = 0;
    t13 = (t12 + 8U);
    *((int *)t13) = -1;
    t14 = (0 - t11);
    t15 = (t14 * -1);
    t15 = (t15 + 1);
    t13 = (t12 + 12U);
    *((unsigned int *)t13) = t15;
    t13 = (t4 + 12U);
    t15 = *((unsigned int *)t13);
    t16 = (t15 - 1);
    t17 = (0 - t16);
    t18 = (t17 * -1);
    t18 = (t18 + 1);
    t18 = (t18 * 1U);
    t20 = (t4 + 12U);
    t21 = *((unsigned int *)t20);
    t22 = (t21 - 1);
    t23 = (t19 + 0U);
    t24 = (t23 + 0U);
    *((int *)t24) = t22;
    t24 = (t23 + 4U);
    *((int *)t24) = 0;
    t24 = (t23 + 8U);
    *((int *)t24) = -1;
    t25 = (0 - t22);
    t26 = (t25 * -1);
    t26 = (t26 + 1);
    t24 = (t23 + 12U);
    *((unsigned int *)t24) = t26;
    t24 = (t6 + 4U);
    t27 = ((STD_STANDARD) + 1112);
    t28 = (t24 + 88U);
    *((char **)t28) = t27;
    t29 = (char *)alloca(t18);
    t30 = (t24 + 56U);
    *((char **)t30) = t29;
    xsi_type_set_default_value(t27, t29, t19);
    t31 = (t24 + 64U);
    *((char **)t31) = t19;
    t32 = (t24 + 80U);
    *((unsigned int *)t32) = t18;
    t33 = (t7 + 4U);
    t34 = (t3 != 0);
    if (t34 == 1)
        goto LAB3;

LAB2:    t35 = (t7 + 12U);
    *((char **)t35) = t4;
    t36 = (t7 + 20U);
    *((unsigned char *)t36) = t5;
    t37 = (t19 + 8U);
    t38 = *((int *)t37);
    t39 = (t19 + 4U);
    t40 = *((int *)t39);
    t41 = (t19 + 0U);
    t42 = *((int *)t41);
    t43 = t42;
    t44 = t40;

LAB4:    t45 = (t44 * t38);
    t46 = (t43 * t38);
    if (t46 <= t45)
        goto LAB5;

LAB7:    t9 = (t24 + 56U);
    t12 = *((char **)t9);
    t9 = (t19 + 12U);
    t10 = *((unsigned int *)t9);
    t10 = (t10 * 1U);
    t0 = xsi_get_transient_memory(t10);
    memcpy(t0, t12, t10);
    t13 = (t19 + 0U);
    t11 = *((int *)t13);
    t20 = (t19 + 4U);
    t14 = *((int *)t20);
    t23 = (t19 + 8U);
    t16 = *((int *)t23);
    t27 = (t2 + 0U);
    t28 = (t27 + 0U);
    *((int *)t28) = t11;
    t28 = (t27 + 4U);
    *((int *)t28) = t14;
    t28 = (t27 + 8U);
    *((int *)t28) = t16;
    t17 = (t14 - t11);
    t15 = (t17 * t16);
    t15 = (t15 + 1);
    t28 = (t27 + 12U);
    *((unsigned int *)t28) = t15;

LAB1:    return t0;
LAB3:    *((char **)t33) = t3;
    goto LAB2;

LAB5:    t47 = (t3 + 0);
    t48 = (t8 + 0U);
    t49 = *((int *)t48);
    t50 = (t8 + 8U);
    t51 = *((int *)t50);
    t52 = (t43 - t49);
    t26 = (t52 * t51);
    t53 = (t8 + 4U);
    t54 = *((int *)t53);
    xsi_vhdl_check_range_of_index(t49, t54, t51, t43);
    t55 = (1U * t26);
    t56 = (0 + t55);
    t57 = (t47 + t56);
    t58 = *((unsigned char *)t57);
    t59 = (char *)((nl0) + t58);
    goto **((char **)t59);

LAB6:    if (t43 == t44)
        goto LAB7;

LAB12:    t11 = (t43 + t38);
    t43 = t11;
    goto LAB4;

LAB8:    goto LAB6;

LAB9:    t60 = (t24 + 56U);
    t61 = *((char **)t60);
    t60 = (t19 + 0U);
    t62 = *((int *)t60);
    t63 = (t19 + 8U);
    t64 = *((int *)t63);
    t65 = (t43 - t62);
    t66 = (t65 * t64);
    t67 = (1U * t66);
    t68 = (0 + t67);
    t69 = (t61 + t68);
    *((unsigned char *)t69) = (unsigned char)0;
    goto LAB8;

LAB10:    t9 = (t24 + 56U);
    t12 = *((char **)t9);
    t9 = (t19 + 0U);
    t11 = *((int *)t9);
    t13 = (t19 + 8U);
    t14 = *((int *)t13);
    t16 = (t43 - t11);
    t10 = (t16 * t14);
    t15 = (1U * t10);
    t18 = (0 + t15);
    t20 = (t12 + t18);
    *((unsigned char *)t20) = (unsigned char)1;
    goto LAB8;

LAB11:    t9 = (t24 + 56U);
    t12 = *((char **)t9);
    t9 = (t19 + 0U);
    t11 = *((int *)t9);
    t13 = (t19 + 8U);
    t14 = *((int *)t13);
    t16 = (t43 - t11);
    t10 = (t16 * t14);
    t15 = (1U * t10);
    t18 = (0 + t15);
    t20 = (t12 + t18);
    *((unsigned char *)t20) = t5;
    goto LAB8;

LAB13:;
}

unsigned char vl_p_2533777724_sub_3029271039_2471646204(char *t1, unsigned char t2)
{
    char t4[8];
    unsigned char t0;
    char *t5;
    char *t6;
    static char *nl0[] = {&&LAB3, &&LAB4};

LAB0:    t5 = (t4 + 4U);
    *((unsigned char *)t5) = t2;
    t6 = (char *)((nl0) + t2);
    goto **((char **)t6);

LAB2:    xsi_error(ng2);
    t0 = 0;

LAB1:    return t0;
LAB3:    t0 = (unsigned char)2;
    goto LAB1;

LAB4:    t0 = (unsigned char)3;
    goto LAB1;

LAB5:    goto LAB2;

LAB6:    goto LAB2;

}

char *vl_p_2533777724_sub_2572910449_2471646204(char *t1, char *t2, char *t3, char *t4)
{
    char t5[128];
    char t6[24];
    char t7[16];
    char t18[16];
    char *t0;
    char *t8;
    unsigned int t9;
    int t10;
    char *t11;
    char *t12;
    int t13;
    unsigned int t14;
    int t15;
    int t16;
    unsigned int t17;
    char *t19;
    unsigned int t20;
    int t21;
    char *t22;
    char *t23;
    int t24;
    unsigned int t25;
    char *t26;
    char *t27;
    char *t28;
    char *t29;
    char *t30;
    char *t31;
    char *t32;
    unsigned char t33;
    char *t34;
    char *t35;
    int t36;
    char *t37;
    int t38;
    char *t39;
    int t40;
    int t41;
    int t42;
    int t43;
    int t44;
    char *t45;
    char *t46;
    int t47;
    char *t48;
    int t49;
    int t50;
    char *t51;
    int t52;
    unsigned int t53;
    unsigned int t54;
    char *t55;
    unsigned char t56;
    char *t57;
    char *t58;
    char *t59;
    int t60;
    char *t61;
    int t62;
    int t63;
    unsigned int t64;
    unsigned int t65;
    unsigned int t66;
    char *t67;
    static char *nl0[] = {&&LAB9, &&LAB10};

LAB0:    t8 = (t4 + 12U);
    t9 = *((unsigned int *)t8);
    t10 = (t9 - 1);
    t11 = (t7 + 0U);
    t12 = (t11 + 0U);
    *((int *)t12) = t10;
    t12 = (t11 + 4U);
    *((int *)t12) = 0;
    t12 = (t11 + 8U);
    *((int *)t12) = -1;
    t13 = (0 - t10);
    t14 = (t13 * -1);
    t14 = (t14 + 1);
    t12 = (t11 + 12U);
    *((unsigned int *)t12) = t14;
    t12 = (t4 + 12U);
    t14 = *((unsigned int *)t12);
    t15 = (t14 - 1);
    t16 = (0 - t15);
    t17 = (t16 * -1);
    t17 = (t17 + 1);
    t17 = (t17 * 1U);
    t19 = (t4 + 12U);
    t20 = *((unsigned int *)t19);
    t21 = (t20 - 1);
    t22 = (t18 + 0U);
    t23 = (t22 + 0U);
    *((int *)t23) = t21;
    t23 = (t22 + 4U);
    *((int *)t23) = 0;
    t23 = (t22 + 8U);
    *((int *)t23) = -1;
    t24 = (0 - t21);
    t25 = (t24 * -1);
    t25 = (t25 + 1);
    t23 = (t22 + 12U);
    *((unsigned int *)t23) = t25;
    t23 = (t5 + 4U);
    t26 = (t1 + 2800);
    t27 = (t23 + 88U);
    *((char **)t27) = t26;
    t28 = (char *)alloca(t17);
    t29 = (t23 + 56U);
    *((char **)t29) = t28;
    xsi_type_set_default_value(t26, t28, t18);
    t30 = (t23 + 64U);
    *((char **)t30) = t18;
    t31 = (t23 + 80U);
    *((unsigned int *)t31) = t17;
    t32 = (t6 + 4U);
    t33 = (t3 != 0);
    if (t33 == 1)
        goto LAB3;

LAB2:    t34 = (t6 + 12U);
    *((char **)t34) = t4;
    t35 = (t18 + 8U);
    t36 = *((int *)t35);
    t37 = (t18 + 4U);
    t38 = *((int *)t37);
    t39 = (t18 + 0U);
    t40 = *((int *)t39);
    t41 = t40;
    t42 = t38;

LAB4:    t43 = (t42 * t36);
    t44 = (t41 * t36);
    if (t44 <= t43)
        goto LAB5;

LAB7:    t8 = (t23 + 56U);
    t11 = *((char **)t8);
    t8 = (t18 + 12U);
    t9 = *((unsigned int *)t8);
    t9 = (t9 * 1U);
    t0 = xsi_get_transient_memory(t9);
    memcpy(t0, t11, t9);
    t12 = (t18 + 0U);
    t10 = *((int *)t12);
    t19 = (t18 + 4U);
    t13 = *((int *)t19);
    t22 = (t18 + 8U);
    t15 = *((int *)t22);
    t26 = (t2 + 0U);
    t27 = (t26 + 0U);
    *((int *)t27) = t10;
    t27 = (t26 + 4U);
    *((int *)t27) = t13;
    t27 = (t26 + 8U);
    *((int *)t27) = t15;
    t16 = (t13 - t10);
    t14 = (t16 * t15);
    t14 = (t14 + 1);
    t27 = (t26 + 12U);
    *((unsigned int *)t27) = t14;

LAB1:    return t0;
LAB3:    *((char **)t32) = t3;
    goto LAB2;

LAB5:    t45 = (t3 + 0);
    t46 = (t7 + 0U);
    t47 = *((int *)t46);
    t48 = (t7 + 8U);
    t49 = *((int *)t48);
    t50 = (t41 - t47);
    t25 = (t50 * t49);
    t51 = (t7 + 4U);
    t52 = *((int *)t51);
    xsi_vhdl_check_range_of_index(t47, t52, t49, t41);
    t53 = (1U * t25);
    t54 = (0 + t53);
    t55 = (t45 + t54);
    t56 = *((unsigned char *)t55);
    t57 = (char *)((nl0) + t56);
    goto **((char **)t57);

LAB6:    if (t41 == t42)
        goto LAB7;

LAB11:    t10 = (t41 + t36);
    t41 = t10;
    goto LAB4;

LAB8:    goto LAB6;

LAB9:    t58 = (t23 + 56U);
    t59 = *((char **)t58);
    t58 = (t18 + 0U);
    t60 = *((int *)t58);
    t61 = (t18 + 8U);
    t62 = *((int *)t61);
    t63 = (t41 - t60);
    t64 = (t63 * t62);
    t65 = (1U * t64);
    t66 = (0 + t65);
    t67 = (t59 + t66);
    *((unsigned char *)t67) = (unsigned char)2;
    goto LAB8;

LAB10:    t8 = (t23 + 56U);
    t11 = *((char **)t8);
    t8 = (t18 + 0U);
    t10 = *((int *)t8);
    t12 = (t18 + 8U);
    t13 = *((int *)t12);
    t15 = (t41 - t10);
    t9 = (t15 * t13);
    t14 = (1U * t9);
    t17 = (0 + t14);
    t19 = (t11 + t17);
    *((unsigned char *)t19) = (unsigned char)3;
    goto LAB8;

LAB12:;
}

char *vl_p_2533777724_sub_2120581643_2471646204(char *t1, char *t2, char *t3, char *t4)
{
    char t5[128];
    char t6[24];
    char t7[16];
    char t18[16];
    char *t0;
    char *t8;
    unsigned int t9;
    int t10;
    char *t11;
    char *t12;
    int t13;
    unsigned int t14;
    int t15;
    int t16;
    unsigned int t17;
    char *t19;
    unsigned int t20;
    int t21;
    char *t22;
    char *t23;
    int t24;
    unsigned int t25;
    char *t26;
    char *t27;
    char *t28;
    char *t29;
    char *t30;
    char *t31;
    char *t32;
    unsigned char t33;
    char *t34;
    char *t35;
    int t36;
    char *t37;
    int t38;
    char *t39;
    int t40;
    int t41;
    int t42;
    int t43;
    int t44;
    char *t45;
    char *t46;
    int t47;
    char *t48;
    int t49;
    int t50;
    char *t51;
    int t52;
    unsigned int t53;
    unsigned int t54;
    char *t55;
    unsigned char t56;
    char *t57;
    char *t58;
    int t59;
    char *t60;
    int t61;
    int t62;
    unsigned int t63;
    unsigned int t64;
    unsigned int t65;
    char *t66;

LAB0:    t8 = (t4 + 12U);
    t9 = *((unsigned int *)t8);
    t10 = (t9 - 1);
    t11 = (t7 + 0U);
    t12 = (t11 + 0U);
    *((int *)t12) = t10;
    t12 = (t11 + 4U);
    *((int *)t12) = 0;
    t12 = (t11 + 8U);
    *((int *)t12) = -1;
    t13 = (0 - t10);
    t14 = (t13 * -1);
    t14 = (t14 + 1);
    t12 = (t11 + 12U);
    *((unsigned int *)t12) = t14;
    t12 = (t4 + 12U);
    t14 = *((unsigned int *)t12);
    t15 = (t14 - 1);
    t16 = (0 - t15);
    t17 = (t16 * -1);
    t17 = (t17 + 1);
    t17 = (t17 * 1U);
    t19 = (t4 + 12U);
    t20 = *((unsigned int *)t19);
    t21 = (t20 - 1);
    t22 = (t18 + 0U);
    t23 = (t22 + 0U);
    *((int *)t23) = t21;
    t23 = (t22 + 4U);
    *((int *)t23) = 0;
    t23 = (t22 + 8U);
    *((int *)t23) = -1;
    t24 = (0 - t21);
    t25 = (t24 * -1);
    t25 = (t25 + 1);
    t23 = (t22 + 12U);
    *((unsigned int *)t23) = t25;
    t23 = (t5 + 4U);
    t26 = (t1 + 2800);
    t27 = (t23 + 88U);
    *((char **)t27) = t26;
    t28 = (char *)alloca(t17);
    t29 = (t23 + 56U);
    *((char **)t29) = t28;
    xsi_type_set_default_value(t26, t28, t18);
    t30 = (t23 + 64U);
    *((char **)t30) = t18;
    t31 = (t23 + 80U);
    *((unsigned int *)t31) = t17;
    t32 = (t6 + 4U);
    t33 = (t3 != 0);
    if (t33 == 1)
        goto LAB3;

LAB2:    t34 = (t6 + 12U);
    *((char **)t34) = t4;
    t35 = (t18 + 8U);
    t36 = *((int *)t35);
    t37 = (t18 + 4U);
    t38 = *((int *)t37);
    t39 = (t18 + 0U);
    t40 = *((int *)t39);
    t41 = t40;
    t42 = t38;

LAB4:    t43 = (t42 * t36);
    t44 = (t41 * t36);
    if (t44 <= t43)
        goto LAB5;

LAB7:    t8 = (t23 + 56U);
    t11 = *((char **)t8);
    t8 = (t18 + 12U);
    t9 = *((unsigned int *)t8);
    t9 = (t9 * 1U);
    t0 = xsi_get_transient_memory(t9);
    memcpy(t0, t11, t9);
    t12 = (t18 + 0U);
    t10 = *((int *)t12);
    t19 = (t18 + 4U);
    t13 = *((int *)t19);
    t22 = (t18 + 8U);
    t15 = *((int *)t22);
    t26 = (t2 + 0U);
    t27 = (t26 + 0U);
    *((int *)t27) = t10;
    t27 = (t26 + 4U);
    *((int *)t27) = t13;
    t27 = (t26 + 8U);
    *((int *)t27) = t15;
    t16 = (t13 - t10);
    t14 = (t16 * t15);
    t14 = (t14 + 1);
    t27 = (t26 + 12U);
    *((unsigned int *)t27) = t14;

LAB1:    return t0;
LAB3:    *((char **)t32) = t3;
    goto LAB2;

LAB5:    t45 = (t3 + 0);
    t46 = (t7 + 0U);
    t47 = *((int *)t46);
    t48 = (t7 + 8U);
    t49 = *((int *)t48);
    t50 = (t41 - t47);
    t25 = (t50 * t49);
    t51 = (t7 + 4U);
    t52 = *((int *)t51);
    xsi_vhdl_check_range_of_index(t47, t52, t49, t41);
    t53 = (1U * t25);
    t54 = (0 + t53);
    t55 = (t45 + t54);
    t56 = *((unsigned char *)t55);
    t57 = (t23 + 56U);
    t58 = *((char **)t57);
    t57 = (t18 + 0U);
    t59 = *((int *)t57);
    t60 = (t18 + 8U);
    t61 = *((int *)t60);
    t62 = (t41 - t59);
    t63 = (t62 * t61);
    t64 = (1U * t63);
    t65 = (0 + t64);
    t66 = (t58 + t65);
    *((unsigned char *)t66) = t56;

LAB6:    if (t41 == t42)
        goto LAB7;

LAB8:    t10 = (t41 + t36);
    t41 = t10;
    goto LAB4;

LAB9:;
}
static void work_a_1066031085_1516540902_p_1(char *t0)
{
    unsigned char t1;
    char *t2;
    char *t3;
    unsigned char t4;
    unsigned char t5;
    unsigned char t6;
    unsigned char t7;
    unsigned char t8;
    char *t9;
    char *t10;
    unsigned char t11;
    unsigned char t12;
    char *t13;
    unsigned char t14;
    unsigned char t15;
    char *t16;
    unsigned char t17;
    unsigned char t18;
    char *t19;
    char *t20;
    int t21;
    int t22;
    unsigned int t23;
    unsigned int t24;
    unsigned int t25;
    char *t26;
    char *t27;
    char *t28;
    char *t29;
    char *t30;
    char *t31;

LAB0:    xsi_set_current_line(70, ng0);
    t2 = (t0 + 1032U);
    t3 = *((char **)t2);
    t4 = *((unsigned char *)t3);
    t5 = (t4 == (unsigned char)3);
    if (t5 == 1)
        goto LAB5;

LAB6:    t1 = (unsigned char)0;

LAB7:    if (t1 != 0)
        goto LAB2;

LAB4:
LAB3:    t2 = (t0 + 4416);
    *((int *)t2) = 1;

LAB1:    return;
LAB2:    xsi_set_current_line(71, ng0);
    t9 = (t0 + 1512U);
    t10 = *((char **)t9);
    t11 = *((unsigned char *)t10);
    t12 = (t11 == (unsigned char)3);
    if (t12 == 1)
        goto LAB14;

LAB15:    t8 = (unsigned char)0;

LAB16:    if (t8 == 1)
        goto LAB11;

LAB12:    t7 = (unsigned char)0;

LAB13:    if (t7 != 0)
        goto LAB8;

LAB10:    xsi_set_current_line(74, ng0);
    t2 = xsi_get_transient_memory(8U);
    memset(t2, 0, 8U);
    t3 = t2;
    memset(t3, (unsigned char)4, 8U);
    t9 = (t0 + 4560);
    t10 = (t9 + 56U);
    t13 = *((char **)t10);
    t16 = (t13 + 56U);
    t19 = *((char **)t16);
    memcpy(t19, t2, 8U);
    xsi_driver_first_trans_fast_port(t9);

LAB9:    goto LAB3;

LAB5:    t2 = (t0 + 992U);
    t6 = xsi_signal_has_event(t2);
    t1 = t6;
    goto LAB7;

LAB8:    xsi_set_current_line(72, ng0);
    t9 = (t0 + 2312U);
    t19 = *((char **)t9);
    t9 = (t0 + 1192U);
    t20 = *((char **)t9);
    t9 = (t0 + 6916U);
    t21 = ieee_p_3620187407_sub_514432868_3965413181(IEEE_P_3620187407, t20, t9);
    t22 = (t21 - 0);
    t23 = (t22 * 1);
    xsi_vhdl_check_range_of_index(0, 255, 1, t21);
    t24 = (8U * t23);
    t25 = (0 + t24);
    t26 = (t19 + t25);
    t27 = (t0 + 4560);
    t28 = (t27 + 56U);
    t29 = *((char **)t28);
    t30 = (t29 + 56U);
    t31 = *((char **)t30);
    memcpy(t31, t26, 8U);
    xsi_driver_first_trans_fast_port(t27);
    goto LAB9;

LAB11:    t9 = (t0 + 1832U);
    t16 = *((char **)t9);
    t17 = *((unsigned char *)t16);
    t18 = (t17 == (unsigned char)3);
    t7 = t18;
    goto LAB13;

LAB14:    t9 = (t0 + 1672U);
    t13 = *((char **)t9);
    t14 = *((unsigned char *)t13);
    t15 = (t14 == (unsigned char)2);
    t8 = t15;
    goto LAB16;

}
示例#24
0
int vl_p_2533777724_sub_3689701306_2471646204(char *t1, char *t2, char *t3)
{
    char t4[248];
    char t5[24];
    char t9[8];
    char t15[8];
    int t0;
    char *t6;
    char *t7;
    char *t8;
    char *t10;
    char *t11;
    char *t12;
    char *t13;
    char *t14;
    char *t16;
    char *t17;
    char *t18;
    unsigned char t19;
    char *t20;
    char *t21;
    unsigned int t22;
    int t23;
    int t24;
    char *t25;
    int t26;
    char *t27;
    int t28;
    int t29;
    unsigned int t30;
    char *t31;
    int t32;
    unsigned int t33;
    unsigned int t34;
    char *t35;
    unsigned char t36;
    unsigned char t37;
    char *t38;
    char *t39;
    int t40;
    int t41;
    int t42;
    char *t43;

LAB0:    t6 = (t4 + 4U);
    t7 = ((STD_STANDARD) + 384);
    t8 = (t6 + 88U);
    *((char **)t8) = t7;
    t10 = (t6 + 56U);
    *((char **)t10) = t9;
    xsi_type_set_default_value(t7, t9, 0);
    t11 = (t6 + 80U);
    *((unsigned int *)t11) = 4U;
    t12 = (t4 + 124U);
    t13 = ((STD_STANDARD) + 384);
    t14 = (t12 + 88U);
    *((char **)t14) = t13;
    t16 = (t12 + 56U);
    *((char **)t16) = t15;
    *((int *)t15) = 0;
    t17 = (t12 + 80U);
    *((unsigned int *)t17) = 4U;
    t18 = (t5 + 4U);
    t19 = (t2 != 0);
    if (t19 == 1)
        goto LAB3;

LAB2:    t20 = (t5 + 12U);
    *((char **)t20) = t3;
    t21 = (t3 + 12U);
    t22 = *((unsigned int *)t21);
    t23 = 0;
    t24 = t22;

LAB4:    if (t23 <= t24)
        goto LAB5;

LAB7:    t7 = (t12 + 56U);
    t8 = *((char **)t7);
    t23 = *((int *)t8);
    t0 = t23;

LAB1:    return t0;
LAB3:    *((char **)t18) = t2;
    goto LAB2;

LAB5:    t25 = (t3 + 0U);
    t26 = *((int *)t25);
    t27 = (t3 + 8U);
    t28 = *((int *)t27);
    t29 = (t23 - t26);
    t30 = (t29 * t28);
    t31 = (t3 + 4U);
    t32 = *((int *)t31);
    xsi_vhdl_check_range_of_index(t26, t32, t28, t23);
    t33 = (1U * t30);
    t34 = (0 + t33);
    t35 = (t2 + t34);
    t36 = *((unsigned char *)t35);
    t37 = (t36 == (unsigned char)1);
    if (t37 != 0)
        goto LAB8;

LAB10:
LAB9:
LAB6:    if (t23 == t24)
        goto LAB7;

LAB11:    t26 = (t23 + 1);
    t23 = t26;
    goto LAB4;

LAB8:    t38 = (t12 + 56U);
    t39 = *((char **)t38);
    t40 = *((int *)t39);
    t41 = xsi_vhdl_pow(2, t23);
    t42 = (t40 + t41);
    t38 = (t12 + 56U);
    t43 = *((char **)t38);
    t38 = (t43 + 0);
    *((int *)t38) = t42;
    goto LAB9;

LAB12:;
}
unsigned char unisim_a_3705309847_3676810390_sub_655425482_336668464(char *t1, char *t2, char *t3)
{
    char t4[128];
    char t5[40];
    char t6[16];
    char t11[16];
    char t16[8];
    unsigned char t0;
    char *t7;
    char *t8;
    int t9;
    unsigned int t10;
    char *t12;
    int t13;
    char *t14;
    char *t15;
    char *t17;
    char *t18;
    char *t19;
    unsigned char t20;
    char *t21;
    char *t22;
    unsigned char t23;
    char *t24;
    unsigned char t25;
    char *t26;
    int t27;
    char *t28;
    int t29;
    int t30;
    unsigned int t31;
    unsigned int t32;
    char *t33;
    unsigned char t34;
    char *t35;
    int t36;
    char *t37;
    int t38;
    int t39;
    unsigned int t40;
    unsigned int t41;
    unsigned int t42;
    char *t43;
    unsigned char t44;
    unsigned char t45;
    unsigned char t46;
    char *t47;
    int t48;
    char *t49;
    int t50;
    int t51;
    unsigned int t52;
    unsigned int t53;
    unsigned int t54;
    char *t55;
    unsigned char t56;
    char *t57;
    int t58;
    char *t59;
    int t60;
    int t61;
    unsigned int t62;
    unsigned int t63;
    unsigned int t64;
    char *t65;
    unsigned char t66;
    unsigned char t67;
    unsigned char t68;
    int t69;
    char *t70;
    int t71;
    char *t72;
    int t73;
    int t74;
    unsigned int t75;
    char *t76;
    int t77;
    unsigned int t78;
    unsigned int t79;
    char *t80;
    unsigned char t81;
    char *t82;
    char *t83;
    unsigned int t84;
    unsigned int t85;
    unsigned int t86;
    unsigned char t87;
    unsigned char t88;
    int t89;
    int t90;
    unsigned int t91;
    unsigned int t92;
    unsigned int t93;
    unsigned char t94;

LAB0:    t7 = (t6 + 0U);
    t8 = (t7 + 0U);
    *((int *)t8) = 3;
    t8 = (t7 + 4U);
    *((int *)t8) = 0;
    t8 = (t7 + 8U);
    *((int *)t8) = -1;
    t9 = (0 - 3);
    t10 = (t9 * -1);
    t10 = (t10 + 1);
    t8 = (t7 + 12U);
    *((unsigned int *)t8) = t10;
    t8 = (t11 + 0U);
    t12 = (t8 + 0U);
    *((int *)t12) = 1;
    t12 = (t8 + 4U);
    *((int *)t12) = 0;
    t12 = (t8 + 8U);
    *((int *)t12) = -1;
    t13 = (0 - 1);
    t10 = (t13 * -1);
    t10 = (t10 + 1);
    t12 = (t8 + 12U);
    *((unsigned int *)t12) = t10;
    t12 = (t4 + 4U);
    t14 = ((IEEE_P_2592010699) + 3320);
    t15 = (t12 + 88U);
    *((char **)t15) = t14;
    t17 = (t12 + 56U);
    *((char **)t17) = t16;
    xsi_type_set_default_value(t14, t16, 0);
    t18 = (t12 + 80U);
    *((unsigned int *)t18) = 1U;
    t19 = (t5 + 4U);
    t20 = (t2 != 0);
    if (t20 == 1)
        goto LAB3;

LAB2:    t21 = (t5 + 12U);
    *((char **)t21) = t6;
    t22 = (t5 + 20U);
    t23 = (t3 != 0);
    if (t23 == 1)
        goto LAB5;

LAB4:    t24 = (t5 + 28U);
    *((char **)t24) = t11;
    t26 = (t11 + 0U);
    t27 = *((int *)t26);
    t28 = (t11 + 8U);
    t29 = *((int *)t28);
    t30 = (1 - t27);
    t10 = (t30 * t29);
    t31 = (1U * t10);
    t32 = (0 + t31);
    t33 = (t3 + t32);
    t34 = *((unsigned char *)t33);
    t35 = (t11 + 0U);
    t36 = *((int *)t35);
    t37 = (t11 + 8U);
    t38 = *((int *)t37);
    t39 = (0 - t36);
    t40 = (t39 * t38);
    t41 = (1U * t40);
    t42 = (0 + t41);
    t43 = (t3 + t42);
    t44 = *((unsigned char *)t43);
    t45 = ieee_p_2592010699_sub_2507238156_503743352(IEEE_P_2592010699, t34, t44);
    t46 = (t45 == (unsigned char)3);
    if (t46 == 1)
        goto LAB9;

LAB10:    t47 = (t11 + 0U);
    t48 = *((int *)t47);
    t49 = (t11 + 8U);
    t50 = *((int *)t49);
    t51 = (1 - t48);
    t52 = (t51 * t50);
    t53 = (1U * t52);
    t54 = (0 + t53);
    t55 = (t3 + t54);
    t56 = *((unsigned char *)t55);
    t57 = (t11 + 0U);
    t58 = *((int *)t57);
    t59 = (t11 + 8U);
    t60 = *((int *)t59);
    t61 = (0 - t58);
    t62 = (t61 * t60);
    t63 = (1U * t62);
    t64 = (0 + t63);
    t65 = (t3 + t64);
    t66 = *((unsigned char *)t65);
    t67 = ieee_p_2592010699_sub_2507238156_503743352(IEEE_P_2592010699, t56, t66);
    t68 = (t67 == (unsigned char)2);
    t25 = t68;

LAB11:    if (t25 != 0)
        goto LAB6;

LAB8:    t7 = (t6 + 0U);
    t9 = *((int *)t7);
    t8 = (t6 + 8U);
    t13 = *((int *)t8);
    t27 = (0 - t9);
    t10 = (t27 * t13);
    t31 = (1U * t10);
    t32 = (0 + t31);
    t14 = (t2 + t32);
    t25 = *((unsigned char *)t14);
    t15 = (t6 + 0U);
    t29 = *((int *)t15);
    t17 = (t6 + 8U);
    t30 = *((int *)t17);
    t36 = (1 - t29);
    t40 = (t36 * t30);
    t41 = (1U * t40);
    t42 = (0 + t41);
    t18 = (t2 + t42);
    t34 = *((unsigned char *)t18);
    t44 = ieee_p_2592010699_sub_2507238156_503743352(IEEE_P_2592010699, t25, t34);
    t45 = (t44 == (unsigned char)2);
    if (t45 == 1)
        goto LAB17;

LAB18:    t23 = (unsigned char)0;

LAB19:    if (t23 == 1)
        goto LAB14;

LAB15:    t20 = (unsigned char)0;

LAB16:    if (t20 != 0)
        goto LAB12;

LAB13:    t7 = (t11 + 0U);
    t9 = *((int *)t7);
    t8 = (t11 + 8U);
    t13 = *((int *)t8);
    t27 = (1 - t9);
    t10 = (t27 * t13);
    t31 = (1U * t10);
    t32 = (0 + t31);
    t14 = (t3 + t32);
    t23 = *((unsigned char *)t14);
    t25 = (t23 == (unsigned char)2);
    if (t25 == 1)
        goto LAB22;

LAB23:    t20 = (unsigned char)0;

LAB24:    if (t20 != 0)
        goto LAB20;

LAB21:    t7 = (t11 + 0U);
    t9 = *((int *)t7);
    t8 = (t11 + 8U);
    t13 = *((int *)t8);
    t27 = (1 - t9);
    t10 = (t27 * t13);
    t31 = (1U * t10);
    t32 = (0 + t31);
    t14 = (t3 + t32);
    t23 = *((unsigned char *)t14);
    t25 = (t23 == (unsigned char)3);
    if (t25 == 1)
        goto LAB27;

LAB28:    t20 = (unsigned char)0;

LAB29:    if (t20 != 0)
        goto LAB25;

LAB26:    t7 = (t11 + 0U);
    t9 = *((int *)t7);
    t8 = (t11 + 8U);
    t13 = *((int *)t8);
    t27 = (0 - t9);
    t10 = (t27 * t13);
    t31 = (1U * t10);
    t32 = (0 + t31);
    t14 = (t3 + t32);
    t23 = *((unsigned char *)t14);
    t25 = (t23 == (unsigned char)2);
    if (t25 == 1)
        goto LAB32;

LAB33:    t20 = (unsigned char)0;

LAB34:    if (t20 != 0)
        goto LAB30;

LAB31:    t7 = (t11 + 0U);
    t9 = *((int *)t7);
    t8 = (t11 + 8U);
    t13 = *((int *)t8);
    t27 = (0 - t9);
    t10 = (t27 * t13);
    t31 = (1U * t10);
    t32 = (0 + t31);
    t14 = (t3 + t32);
    t23 = *((unsigned char *)t14);
    t25 = (t23 == (unsigned char)3);
    if (t25 == 1)
        goto LAB37;

LAB38:    t20 = (unsigned char)0;

LAB39:    if (t20 != 0)
        goto LAB35;

LAB36:    t7 = (t12 + 56U);
    t8 = *((char **)t7);
    t7 = (t8 + 0);
    *((unsigned char *)t7) = (unsigned char)1;

LAB7:    t7 = (t12 + 56U);
    t8 = *((char **)t7);
    t20 = *((unsigned char *)t8);
    t0 = t20;

LAB1:    return t0;
LAB3:    *((char **)t19) = t2;
    goto LAB2;

LAB5:    *((char **)t22) = t3;
    goto LAB4;

LAB6:    t69 = unisim_p_3222816464_sub_3182959421_279109243(UNISIM_P_3222816464, t3, t11);
    t70 = (t6 + 0U);
    t71 = *((int *)t70);
    t72 = (t6 + 8U);
    t73 = *((int *)t72);
    t74 = (t69 - t71);
    t75 = (t74 * t73);
    t76 = (t6 + 4U);
    t77 = *((int *)t76);
    xsi_vhdl_check_range_of_index(t71, t77, t73, t69);
    t78 = (1U * t75);
    t79 = (0 + t78);
    t80 = (t2 + t79);
    t81 = *((unsigned char *)t80);
    t82 = (t12 + 56U);
    t83 = *((char **)t82);
    t82 = (t83 + 0);
    *((unsigned char *)t82) = t81;
    goto LAB7;

LAB9:    t25 = (unsigned char)1;
    goto LAB11;

LAB12:    t70 = (t6 + 0U);
    t77 = *((int *)t70);
    t72 = (t6 + 8U);
    t89 = *((int *)t72);
    t90 = (0 - t77);
    t91 = (t90 * t89);
    t92 = (1U * t91);
    t93 = (0 + t92);
    t76 = (t2 + t93);
    t94 = *((unsigned char *)t76);
    t80 = (t12 + 56U);
    t82 = *((char **)t80);
    t80 = (t82 + 0);
    *((unsigned char *)t80) = t94;
    goto LAB7;

LAB14:    t47 = (t6 + 0U);
    t60 = *((int *)t47);
    t49 = (t6 + 8U);
    t61 = *((int *)t49);
    t69 = (0 - t60);
    t75 = (t69 * t61);
    t78 = (1U * t75);
    t79 = (0 + t78);
    t55 = (t2 + t79);
    t68 = *((unsigned char *)t55);
    t57 = (t6 + 0U);
    t71 = *((int *)t57);
    t59 = (t6 + 8U);
    t73 = *((int *)t59);
    t74 = (2 - t71);
    t84 = (t74 * t73);
    t85 = (1U * t84);
    t86 = (0 + t85);
    t65 = (t2 + t86);
    t81 = *((unsigned char *)t65);
    t87 = ieee_p_2592010699_sub_2507238156_503743352(IEEE_P_2592010699, t68, t81);
    t88 = (t87 == (unsigned char)2);
    t20 = t88;
    goto LAB16;

LAB17:    t26 = (t6 + 0U);
    t38 = *((int *)t26);
    t28 = (t6 + 8U);
    t39 = *((int *)t28);
    t48 = (2 - t38);
    t52 = (t48 * t39);
    t53 = (1U * t52);
    t54 = (0 + t53);
    t33 = (t2 + t54);
    t46 = *((unsigned char *)t33);
    t35 = (t6 + 0U);
    t50 = *((int *)t35);
    t37 = (t6 + 8U);
    t51 = *((int *)t37);
    t58 = (3 - t50);
    t62 = (t58 * t51);
    t63 = (1U * t62);
    t64 = (0 + t63);
    t43 = (t2 + t64);
    t56 = *((unsigned char *)t43);
    t66 = ieee_p_2592010699_sub_2507238156_503743352(IEEE_P_2592010699, t46, t56);
    t67 = (t66 == (unsigned char)2);
    t23 = t67;
    goto LAB19;

LAB20:    t35 = (t6 + 0U);
    t50 = *((int *)t35);
    t37 = (t6 + 8U);
    t51 = *((int *)t37);
    t58 = (0 - t50);
    t62 = (t58 * t51);
    t63 = (1U * t62);
    t64 = (0 + t63);
    t43 = (t2 + t64);
    t46 = *((unsigned char *)t43);
    t47 = (t12 + 56U);
    t49 = *((char **)t47);
    t47 = (t49 + 0);
    *((unsigned char *)t47) = t46;
    goto LAB7;

LAB22:    t15 = (t6 + 0U);
    t29 = *((int *)t15);
    t17 = (t6 + 8U);
    t30 = *((int *)t17);
    t36 = (0 - t29);
    t40 = (t36 * t30);
    t41 = (1U * t40);
    t42 = (0 + t41);
    t18 = (t2 + t42);
    t34 = *((unsigned char *)t18);
    t26 = (t6 + 0U);
    t38 = *((int *)t26);
    t28 = (t6 + 8U);
    t39 = *((int *)t28);
    t48 = (1 - t38);
    t52 = (t48 * t39);
    t53 = (1U * t52);
    t54 = (0 + t53);
    t33 = (t2 + t54);
    t44 = *((unsigned char *)t33);
    t45 = (t34 == t44);
    t20 = t45;
    goto LAB24;

LAB25:    t35 = (t6 + 0U);
    t50 = *((int *)t35);
    t37 = (t6 + 8U);
    t51 = *((int *)t37);
    t58 = (2 - t50);
    t62 = (t58 * t51);
    t63 = (1U * t62);
    t64 = (0 + t63);
    t43 = (t2 + t64);
    t46 = *((unsigned char *)t43);
    t47 = (t12 + 56U);
    t49 = *((char **)t47);
    t47 = (t49 + 0);
    *((unsigned char *)t47) = t46;
    goto LAB7;

LAB27:    t15 = (t6 + 0U);
    t29 = *((int *)t15);
    t17 = (t6 + 8U);
    t30 = *((int *)t17);
    t36 = (2 - t29);
    t40 = (t36 * t30);
    t41 = (1U * t40);
    t42 = (0 + t41);
    t18 = (t2 + t42);
    t34 = *((unsigned char *)t18);
    t26 = (t6 + 0U);
    t38 = *((int *)t26);
    t28 = (t6 + 8U);
    t39 = *((int *)t28);
    t48 = (3 - t38);
    t52 = (t48 * t39);
    t53 = (1U * t52);
    t54 = (0 + t53);
    t33 = (t2 + t54);
    t44 = *((unsigned char *)t33);
    t45 = (t34 == t44);
    t20 = t45;
    goto LAB29;

LAB30:    t35 = (t6 + 0U);
    t50 = *((int *)t35);
    t37 = (t6 + 8U);
    t51 = *((int *)t37);
    t58 = (0 - t50);
    t62 = (t58 * t51);
    t63 = (1U * t62);
    t64 = (0 + t63);
    t43 = (t2 + t64);
    t46 = *((unsigned char *)t43);
    t47 = (t12 + 56U);
    t49 = *((char **)t47);
    t47 = (t49 + 0);
    *((unsigned char *)t47) = t46;
    goto LAB7;

LAB32:    t15 = (t6 + 0U);
    t29 = *((int *)t15);
    t17 = (t6 + 8U);
    t30 = *((int *)t17);
    t36 = (0 - t29);
    t40 = (t36 * t30);
    t41 = (1U * t40);
    t42 = (0 + t41);
    t18 = (t2 + t42);
    t34 = *((unsigned char *)t18);
    t26 = (t6 + 0U);
    t38 = *((int *)t26);
    t28 = (t6 + 8U);
    t39 = *((int *)t28);
    t48 = (2 - t38);
    t52 = (t48 * t39);
    t53 = (1U * t52);
    t54 = (0 + t53);
    t33 = (t2 + t54);
    t44 = *((unsigned char *)t33);
    t45 = (t34 == t44);
    t20 = t45;
    goto LAB34;

LAB35:    t35 = (t6 + 0U);
    t50 = *((int *)t35);
    t37 = (t6 + 8U);
    t51 = *((int *)t37);
    t58 = (1 - t50);
    t62 = (t58 * t51);
    t63 = (1U * t62);
    t64 = (0 + t63);
    t43 = (t2 + t64);
    t46 = *((unsigned char *)t43);
    t47 = (t12 + 56U);
    t49 = *((char **)t47);
    t47 = (t49 + 0);
    *((unsigned char *)t47) = t46;
    goto LAB7;

LAB37:    t15 = (t6 + 0U);
    t29 = *((int *)t15);
    t17 = (t6 + 8U);
    t30 = *((int *)t17);
    t36 = (1 - t29);
    t40 = (t36 * t30);
    t41 = (1U * t40);
    t42 = (0 + t41);
    t18 = (t2 + t42);
    t34 = *((unsigned char *)t18);
    t26 = (t6 + 0U);
    t38 = *((int *)t26);
    t28 = (t6 + 8U);
    t39 = *((int *)t28);
    t48 = (3 - t38);
    t52 = (t48 * t39);
    t53 = (1U * t52);
    t54 = (0 + t53);
    t33 = (t2 + t54);
    t44 = *((unsigned char *)t33);
    t45 = (t34 == t44);
    t20 = t45;
    goto LAB39;

LAB40:;
}
int axi_lite_ipif_v1_01_a_a_1696492163_3306564128_sub_4080491193_229454594(char *t1, char *t2, char *t3)
{
    char t4[128];
    char t5[40];
    char t6[16];
    char t12[16];
    char t15[16];
    char t32[16];
    int t0;
    int t7;
    char *t8;
    char *t9;
    int t10;
    unsigned int t11;
    int t13;
    int t14;
    int t16;
    char *t17;
    int t18;
    unsigned int t19;
    char *t20;
    char *t21;
    char *t22;
    char *t23;
    char *t24;
    char *t25;
    char *t26;
    unsigned char t27;
    char *t28;
    char *t29;
    unsigned char t30;
    char *t31;
    char *t33;
    char *t34;
    char *t35;
    char *t36;
    unsigned int t37;
    int t38;

LAB0:    t7 = (9 - 1);
    t8 = (t6 + 0U);
    t9 = (t8 + 0U);
    *((int *)t9) = 0;
    t9 = (t8 + 4U);
    *((int *)t9) = t7;
    t9 = (t8 + 8U);
    *((int *)t9) = 1;
    t10 = (t7 - 0);
    t11 = (t10 * 1);
    t11 = (t11 + 1);
    t9 = (t8 + 12U);
    *((unsigned int *)t9) = t11;
    memcpy(t12, t6, 16U);
    t13 = (9 - 1);
    t14 = (t13 - 0);
    t11 = (t14 * 1);
    t11 = (t11 + 1);
    t11 = (t11 * 1U);
    t16 = (9 - 1);
    t9 = (t15 + 0U);
    t17 = (t9 + 0U);
    *((int *)t17) = 0;
    t17 = (t9 + 4U);
    *((int *)t17) = t16;
    t17 = (t9 + 8U);
    *((int *)t17) = 1;
    t18 = (t16 - 0);
    t19 = (t18 * 1);
    t19 = (t19 + 1);
    t17 = (t9 + 12U);
    *((unsigned int *)t17) = t19;
    t17 = (t4 + 4U);
    t20 = ((IEEE_P_2592010699) + 4024);
    t21 = (t17 + 88U);
    *((char **)t21) = t20;
    t22 = (char *)alloca(t11);
    t23 = (t17 + 56U);
    *((char **)t23) = t22;
    xsi_type_set_default_value(t20, t22, t15);
    t24 = (t17 + 64U);
    *((char **)t24) = t15;
    t25 = (t17 + 80U);
    *((unsigned int *)t25) = t11;
    t26 = (t5 + 4U);
    t27 = (t2 != 0);
    if (t27 == 1)
        goto LAB3;

LAB2:    t28 = (t5 + 12U);
    *((char **)t28) = t6;
    t29 = (t5 + 20U);
    t30 = (t3 != 0);
    if (t30 == 1)
        goto LAB5;

LAB4:    t31 = (t5 + 28U);
    *((char **)t31) = t12;
    t33 = ieee_p_2592010699_sub_1697423399_503743352(IEEE_P_2592010699, t32, t2, t6, t3, t12);
    t34 = (t17 + 56U);
    t35 = *((char **)t34);
    t34 = (t35 + 0);
    t36 = (t32 + 12U);
    t19 = *((unsigned int *)t36);
    t37 = (1U * t19);
    memcpy(t34, t33, t37);
    t7 = (9 - 1);
    t10 = 0;
    t13 = t7;

LAB6:    if (t10 <= t13)
        goto LAB7;

LAB9:    t0 = 9;

LAB1:    return t0;
LAB3:    *((char **)t26) = t2;
    goto LAB2;

LAB5:    *((char **)t29) = t3;
    goto LAB4;

LAB7:    t8 = (t17 + 56U);
    t9 = *((char **)t8);
    t8 = (t15 + 0U);
    t14 = *((int *)t8);
    t20 = (t15 + 8U);
    t16 = *((int *)t20);
    t18 = (t10 - t14);
    t11 = (t18 * t16);
    t21 = (t15 + 4U);
    t38 = *((int *)t21);
    xsi_vhdl_check_range_of_index(t14, t38, t16, t10);
    t19 = (1U * t11);
    t37 = (0 + t19);
    t23 = (t9 + t37);
    t27 = *((unsigned char *)t23);
    t30 = (t27 == (unsigned char)3);
    if (t30 != 0)
        goto LAB10;

LAB12:
LAB11:
LAB8:    if (t10 == t13)
        goto LAB9;

LAB14:    t7 = (t10 + 1);
    t10 = t7;
    goto LAB6;

LAB10:    t0 = t10;
    goto LAB1;

LAB13:    goto LAB11;

LAB15:;
}
static void work_a_2615964831_3212880686_p_0(char *t0)
{
    char t17[16];
    char t21[16];
    char *t1;
    char *t2;
    unsigned char t3;
    unsigned char t4;
    char *t5;
    char *t6;
    char *t7;
    char *t8;
    char *t9;
    char *t10;
    int t11;
    int t12;
    unsigned int t13;
    unsigned int t14;
    unsigned int t15;
    char *t16;
    unsigned char t18;
    unsigned char t19;
    char *t22;
    char *t23;
    unsigned char t24;
    char *t25;
    char *t26;
    int t27;
    int t28;
    char *t29;
    char *t30;
    char *t31;
    char *t32;
    char *t33;

LAB0:    xsi_set_current_line(54, ng0);
    t1 = (t0 + 1672U);
    t2 = *((char **)t1);
    t3 = *((unsigned char *)t2);
    t4 = (t3 == (unsigned char)3);
    if (t4 != 0)
        goto LAB2;

LAB4:    xsi_set_current_line(102, ng0);
    t1 = (t0 + 2312U);
    t2 = *((char **)t1);
    t1 = (t0 + 1032U);
    t5 = *((char **)t1);
    t1 = (t0 + 6876U);
    t11 = ieee_p_3620187407_sub_514432868_3965413181(IEEE_P_3620187407, t5, t1);
    t12 = (t11 - 0);
    t13 = (t12 * 1);
    xsi_vhdl_check_range_of_index(0, 39, 1, t11);
    t14 = (32U * t13);
    t15 = (0 + t14);
    t6 = (t2 + t15);
    t7 = (t0 + 3872);
    t8 = (t7 + 56U);
    t9 = *((char **)t8);
    t10 = (t9 + 56U);
    t16 = *((char **)t10);
    memcpy(t16, t6, 32U);
    xsi_driver_first_trans_fast_port(t7);
    xsi_set_current_line(103, ng0);
    t1 = (t0 + 2312U);
    t2 = *((char **)t1);
    t1 = (t0 + 1192U);
    t5 = *((char **)t1);
    t1 = (t0 + 6892U);
    t11 = ieee_p_3620187407_sub_514432868_3965413181(IEEE_P_3620187407, t5, t1);
    t12 = (t11 - 0);
    t13 = (t12 * 1);
    xsi_vhdl_check_range_of_index(0, 39, 1, t11);
    t14 = (32U * t13);
    t15 = (0 + t14);
    t6 = (t2 + t15);
    t7 = (t0 + 3936);
    t8 = (t7 + 56U);
    t9 = *((char **)t8);
    t10 = (t9 + 56U);
    t16 = *((char **)t10);
    memcpy(t16, t6, 32U);
    xsi_driver_first_trans_fast_port(t7);
    xsi_set_current_line(105, ng0);
    t1 = (t0 + 1352U);
    t2 = *((char **)t1);
    t1 = (t0 + 6908U);
    t5 = (t0 + 8341);
    t7 = (t17 + 0U);
    t8 = (t7 + 0U);
    *((int *)t8) = 0;
    t8 = (t7 + 4U);
    *((int *)t8) = 4;
    t8 = (t7 + 8U);
    *((int *)t8) = 1;
    t11 = (4 - 0);
    t13 = (t11 * 1);
    t13 = (t13 + 1);
    t8 = (t7 + 12U);
    *((unsigned int *)t8) = t13;
    t4 = ieee_p_3620187407_sub_4042748798_3965413181(IEEE_P_3620187407, t2, t1, t5, t17);
    if (t4 == 1)
        goto LAB8;

LAB9:    t3 = (unsigned char)0;

LAB10:    if (t3 != 0)
        goto LAB5;

LAB7:
LAB6:
LAB3:    t1 = (t0 + 3792);
    *((int *)t1) = 1;

LAB1:    return;
LAB2:    xsi_set_current_line(55, ng0);
    t1 = xsi_get_transient_memory(32U);
    memset(t1, 0, 32U);
    t5 = t1;
    memset(t5, (unsigned char)2, 32U);
    t6 = (t0 + 3872);
    t7 = (t6 + 56U);
    t8 = *((char **)t7);
    t9 = (t8 + 56U);
    t10 = *((char **)t9);
    memcpy(t10, t1, 32U);
    xsi_driver_first_trans_fast_port(t6);
    xsi_set_current_line(56, ng0);
    t1 = xsi_get_transient_memory(32U);
    memset(t1, 0, 32U);
    t2 = t1;
    memset(t2, (unsigned char)2, 32U);
    t5 = (t0 + 3936);
    t6 = (t5 + 56U);
    t7 = *((char **)t6);
    t8 = (t7 + 56U);
    t9 = *((char **)t8);
    memcpy(t9, t1, 32U);
    xsi_driver_first_trans_fast_port(t5);
    xsi_set_current_line(59, ng0);
    t1 = xsi_get_transient_memory(32U);
    memset(t1, 0, 32U);
    t2 = t1;
    memset(t2, (unsigned char)2, 32U);
    t5 = (t0 + 4000);
    t6 = (t5 + 56U);
    t7 = *((char **)t6);
    t8 = (t7 + 56U);
    t9 = *((char **)t8);
    memcpy(t9, t1, 32U);
    xsi_driver_first_trans_delta(t5, 0U, 32U, 0LL);
    xsi_set_current_line(60, ng0);
    t1 = xsi_get_transient_memory(32U);
    memset(t1, 0, 32U);
    t2 = t1;
    memset(t2, (unsigned char)2, 32U);
    t5 = (t0 + 4000);
    t6 = (t5 + 56U);
    t7 = *((char **)t6);
    t8 = (t7 + 56U);
    t9 = *((char **)t8);
    memcpy(t9, t1, 32U);
    xsi_driver_first_trans_delta(t5, 32U, 32U, 0LL);
    xsi_set_current_line(61, ng0);
    t1 = xsi_get_transient_memory(32U);
    memset(t1, 0, 32U);
    t2 = t1;
    memset(t2, (unsigned char)2, 32U);
    t5 = (t0 + 4000);
    t6 = (t5 + 56U);
    t7 = *((char **)t6);
    t8 = (t7 + 56U);
    t9 = *((char **)t8);
    memcpy(t9, t1, 32U);
    xsi_driver_first_trans_delta(t5, 64U, 32U, 0LL);
    xsi_set_current_line(62, ng0);
    t1 = xsi_get_transient_memory(32U);
    memset(t1, 0, 32U);
    t2 = t1;
    memset(t2, (unsigned char)2, 32U);
    t5 = (t0 + 4000);
    t6 = (t5 + 56U);
    t7 = *((char **)t6);
    t8 = (t7 + 56U);
    t9 = *((char **)t8);
    memcpy(t9, t1, 32U);
    xsi_driver_first_trans_delta(t5, 96U, 32U, 0LL);
    xsi_set_current_line(63, ng0);
    t1 = xsi_get_transient_memory(32U);
    memset(t1, 0, 32U);
    t2 = t1;
    memset(t2, (unsigned char)2, 32U);
    t5 = (t0 + 4000);
    t6 = (t5 + 56U);
    t7 = *((char **)t6);
    t8 = (t7 + 56U);
    t9 = *((char **)t8);
    memcpy(t9, t1, 32U);
    xsi_driver_first_trans_delta(t5, 128U, 32U, 0LL);
    xsi_set_current_line(64, ng0);
    t1 = xsi_get_transient_memory(32U);
    memset(t1, 0, 32U);
    t2 = t1;
    memset(t2, (unsigned char)2, 32U);
    t5 = (t0 + 4000);
    t6 = (t5 + 56U);
    t7 = *((char **)t6);
    t8 = (t7 + 56U);
    t9 = *((char **)t8);
    memcpy(t9, t1, 32U);
    xsi_driver_first_trans_delta(t5, 160U, 32U, 0LL);
    xsi_set_current_line(65, ng0);
    t1 = xsi_get_transient_memory(32U);
    memset(t1, 0, 32U);
    t2 = t1;
    memset(t2, (unsigned char)2, 32U);
    t5 = (t0 + 4000);
    t6 = (t5 + 56U);
    t7 = *((char **)t6);
    t8 = (t7 + 56U);
    t9 = *((char **)t8);
    memcpy(t9, t1, 32U);
    xsi_driver_first_trans_delta(t5, 192U, 32U, 0LL);
    xsi_set_current_line(66, ng0);
    t1 = xsi_get_transient_memory(32U);
    memset(t1, 0, 32U);
    t2 = t1;
    memset(t2, (unsigned char)2, 32U);
    t5 = (t0 + 4000);
    t6 = (t5 + 56U);
    t7 = *((char **)t6);
    t8 = (t7 + 56U);
    t9 = *((char **)t8);
    memcpy(t9, t1, 32U);
    xsi_driver_first_trans_delta(t5, 224U, 32U, 0LL);
    xsi_set_current_line(67, ng0);
    t1 = xsi_get_transient_memory(32U);
    memset(t1, 0, 32U);
    t2 = t1;
    memset(t2, (unsigned char)2, 32U);
    t5 = (t0 + 4000);
    t6 = (t5 + 56U);
    t7 = *((char **)t6);
    t8 = (t7 + 56U);
    t9 = *((char **)t8);
    memcpy(t9, t1, 32U);
    xsi_driver_first_trans_delta(t5, 256U, 32U, 0LL);
    xsi_set_current_line(68, ng0);
    t1 = xsi_get_transient_memory(32U);
    memset(t1, 0, 32U);
    t2 = t1;
    memset(t2, (unsigned char)2, 32U);
    t5 = (t0 + 4000);
    t6 = (t5 + 56U);
    t7 = *((char **)t6);
    t8 = (t7 + 56U);
    t9 = *((char **)t8);
    memcpy(t9, t1, 32U);
    xsi_driver_first_trans_delta(t5, 288U, 32U, 0LL);
    xsi_set_current_line(69, ng0);
    t1 = xsi_get_transient_memory(32U);
    memset(t1, 0, 32U);
    t2 = t1;
    memset(t2, (unsigned char)2, 32U);
    t5 = (t0 + 4000);
    t6 = (t5 + 56U);
    t7 = *((char **)t6);
    t8 = (t7 + 56U);
    t9 = *((char **)t8);
    memcpy(t9, t1, 32U);
    xsi_driver_first_trans_delta(t5, 320U, 32U, 0LL);
    xsi_set_current_line(70, ng0);
    t1 = xsi_get_transient_memory(32U);
    memset(t1, 0, 32U);
    t2 = t1;
    memset(t2, (unsigned char)2, 32U);
    t5 = (t0 + 4000);
    t6 = (t5 + 56U);
    t7 = *((char **)t6);
    t8 = (t7 + 56U);
    t9 = *((char **)t8);
    memcpy(t9, t1, 32U);
    xsi_driver_first_trans_delta(t5, 352U, 32U, 0LL);
    xsi_set_current_line(71, ng0);
    t1 = xsi_get_transient_memory(32U);
    memset(t1, 0, 32U);
    t2 = t1;
    memset(t2, (unsigned char)2, 32U);
    t5 = (t0 + 4000);
    t6 = (t5 + 56U);
    t7 = *((char **)t6);
    t8 = (t7 + 56U);
    t9 = *((char **)t8);
    memcpy(t9, t1, 32U);
    xsi_driver_first_trans_delta(t5, 384U, 32U, 0LL);
    xsi_set_current_line(72, ng0);
    t1 = xsi_get_transient_memory(32U);
    memset(t1, 0, 32U);
    t2 = t1;
    memset(t2, (unsigned char)2, 32U);
    t5 = (t0 + 4000);
    t6 = (t5 + 56U);
    t7 = *((char **)t6);
    t8 = (t7 + 56U);
    t9 = *((char **)t8);
    memcpy(t9, t1, 32U);
    xsi_driver_first_trans_delta(t5, 416U, 32U, 0LL);
    xsi_set_current_line(73, ng0);
    t1 = xsi_get_transient_memory(32U);
    memset(t1, 0, 32U);
    t2 = t1;
    memset(t2, (unsigned char)2, 32U);
    t5 = (t0 + 4000);
    t6 = (t5 + 56U);
    t7 = *((char **)t6);
    t8 = (t7 + 56U);
    t9 = *((char **)t8);
    memcpy(t9, t1, 32U);
    xsi_driver_first_trans_delta(t5, 448U, 32U, 0LL);
    xsi_set_current_line(74, ng0);
    t1 = xsi_get_transient_memory(32U);
    memset(t1, 0, 32U);
    t2 = t1;
    memset(t2, (unsigned char)2, 32U);
    t5 = (t0 + 4000);
    t6 = (t5 + 56U);
    t7 = *((char **)t6);
    t8 = (t7 + 56U);
    t9 = *((char **)t8);
    memcpy(t9, t1, 32U);
    xsi_driver_first_trans_delta(t5, 480U, 32U, 0LL);
    xsi_set_current_line(75, ng0);
    t1 = xsi_get_transient_memory(32U);
    memset(t1, 0, 32U);
    t2 = t1;
    memset(t2, (unsigned char)2, 32U);
    t5 = (t0 + 4000);
    t6 = (t5 + 56U);
    t7 = *((char **)t6);
    t8 = (t7 + 56U);
    t9 = *((char **)t8);
    memcpy(t9, t1, 32U);
    xsi_driver_first_trans_delta(t5, 512U, 32U, 0LL);
    xsi_set_current_line(76, ng0);
    t1 = xsi_get_transient_memory(32U);
    memset(t1, 0, 32U);
    t2 = t1;
    memset(t2, (unsigned char)2, 32U);
    t5 = (t0 + 4000);
    t6 = (t5 + 56U);
    t7 = *((char **)t6);
    t8 = (t7 + 56U);
    t9 = *((char **)t8);
    memcpy(t9, t1, 32U);
    xsi_driver_first_trans_delta(t5, 544U, 32U, 0LL);
    xsi_set_current_line(77, ng0);
    t1 = xsi_get_transient_memory(32U);
    memset(t1, 0, 32U);
    t2 = t1;
    memset(t2, (unsigned char)2, 32U);
    t5 = (t0 + 4000);
    t6 = (t5 + 56U);
    t7 = *((char **)t6);
    t8 = (t7 + 56U);
    t9 = *((char **)t8);
    memcpy(t9, t1, 32U);
    xsi_driver_first_trans_delta(t5, 576U, 32U, 0LL);
    xsi_set_current_line(78, ng0);
    t1 = xsi_get_transient_memory(32U);
    memset(t1, 0, 32U);
    t2 = t1;
    memset(t2, (unsigned char)2, 32U);
    t5 = (t0 + 4000);
    t6 = (t5 + 56U);
    t7 = *((char **)t6);
    t8 = (t7 + 56U);
    t9 = *((char **)t8);
    memcpy(t9, t1, 32U);
    xsi_driver_first_trans_delta(t5, 608U, 32U, 0LL);
    xsi_set_current_line(79, ng0);
    t1 = xsi_get_transient_memory(32U);
    memset(t1, 0, 32U);
    t2 = t1;
    memset(t2, (unsigned char)2, 32U);
    t5 = (t0 + 4000);
    t6 = (t5 + 56U);
    t7 = *((char **)t6);
    t8 = (t7 + 56U);
    t9 = *((char **)t8);
    memcpy(t9, t1, 32U);
    xsi_driver_first_trans_delta(t5, 640U, 32U, 0LL);
    xsi_set_current_line(80, ng0);
    t1 = xsi_get_transient_memory(32U);
    memset(t1, 0, 32U);
    t2 = t1;
    memset(t2, (unsigned char)2, 32U);
    t5 = (t0 + 4000);
    t6 = (t5 + 56U);
    t7 = *((char **)t6);
    t8 = (t7 + 56U);
    t9 = *((char **)t8);
    memcpy(t9, t1, 32U);
    xsi_driver_first_trans_delta(t5, 672U, 32U, 0LL);
    xsi_set_current_line(81, ng0);
    t1 = xsi_get_transient_memory(32U);
    memset(t1, 0, 32U);
    t2 = t1;
    memset(t2, (unsigned char)2, 32U);
    t5 = (t0 + 4000);
    t6 = (t5 + 56U);
    t7 = *((char **)t6);
    t8 = (t7 + 56U);
    t9 = *((char **)t8);
    memcpy(t9, t1, 32U);
    xsi_driver_first_trans_delta(t5, 704U, 32U, 0LL);
    xsi_set_current_line(82, ng0);
    t1 = xsi_get_transient_memory(32U);
    memset(t1, 0, 32U);
    t2 = t1;
    memset(t2, (unsigned char)2, 32U);
    t5 = (t0 + 4000);
    t6 = (t5 + 56U);
    t7 = *((char **)t6);
    t8 = (t7 + 56U);
    t9 = *((char **)t8);
    memcpy(t9, t1, 32U);
    xsi_driver_first_trans_delta(t5, 736U, 32U, 0LL);
    xsi_set_current_line(83, ng0);
    t1 = xsi_get_transient_memory(32U);
    memset(t1, 0, 32U);
    t2 = t1;
    memset(t2, (unsigned char)2, 32U);
    t5 = (t0 + 4000);
    t6 = (t5 + 56U);
    t7 = *((char **)t6);
    t8 = (t7 + 56U);
    t9 = *((char **)t8);
    memcpy(t9, t1, 32U);
    xsi_driver_first_trans_delta(t5, 768U, 32U, 0LL);
    xsi_set_current_line(84, ng0);
    t1 = xsi_get_transient_memory(32U);
    memset(t1, 0, 32U);
    t2 = t1;
    memset(t2, (unsigned char)2, 32U);
    t5 = (t0 + 4000);
    t6 = (t5 + 56U);
    t7 = *((char **)t6);
    t8 = (t7 + 56U);
    t9 = *((char **)t8);
    memcpy(t9, t1, 32U);
    xsi_driver_first_trans_delta(t5, 800U, 32U, 0LL);
    xsi_set_current_line(85, ng0);
    t1 = xsi_get_transient_memory(32U);
    memset(t1, 0, 32U);
    t2 = t1;
    memset(t2, (unsigned char)2, 32U);
    t5 = (t0 + 4000);
    t6 = (t5 + 56U);
    t7 = *((char **)t6);
    t8 = (t7 + 56U);
    t9 = *((char **)t8);
    memcpy(t9, t1, 32U);
    xsi_driver_first_trans_delta(t5, 832U, 32U, 0LL);
    xsi_set_current_line(86, ng0);
    t1 = xsi_get_transient_memory(32U);
    memset(t1, 0, 32U);
    t2 = t1;
    memset(t2, (unsigned char)2, 32U);
    t5 = (t0 + 4000);
    t6 = (t5 + 56U);
    t7 = *((char **)t6);
    t8 = (t7 + 56U);
    t9 = *((char **)t8);
    memcpy(t9, t1, 32U);
    xsi_driver_first_trans_delta(t5, 864U, 32U, 0LL);
    xsi_set_current_line(87, ng0);
    t1 = xsi_get_transient_memory(32U);
    memset(t1, 0, 32U);
    t2 = t1;
    memset(t2, (unsigned char)2, 32U);
    t5 = (t0 + 4000);
    t6 = (t5 + 56U);
    t7 = *((char **)t6);
    t8 = (t7 + 56U);
    t9 = *((char **)t8);
    memcpy(t9, t1, 32U);
    xsi_driver_first_trans_delta(t5, 896U, 32U, 0LL);
    xsi_set_current_line(88, ng0);
    t1 = xsi_get_transient_memory(32U);
    memset(t1, 0, 32U);
    t2 = t1;
    memset(t2, (unsigned char)2, 32U);
    t5 = (t0 + 4000);
    t6 = (t5 + 56U);
    t7 = *((char **)t6);
    t8 = (t7 + 56U);
    t9 = *((char **)t8);
    memcpy(t9, t1, 32U);
    xsi_driver_first_trans_delta(t5, 928U, 32U, 0LL);
    xsi_set_current_line(89, ng0);
    t1 = xsi_get_transient_memory(32U);
    memset(t1, 0, 32U);
    t2 = t1;
    memset(t2, (unsigned char)2, 32U);
    t5 = (t0 + 4000);
    t6 = (t5 + 56U);
    t7 = *((char **)t6);
    t8 = (t7 + 56U);
    t9 = *((char **)t8);
    memcpy(t9, t1, 32U);
    xsi_driver_first_trans_delta(t5, 960U, 32U, 0LL);
    xsi_set_current_line(90, ng0);
    t1 = xsi_get_transient_memory(32U);
    memset(t1, 0, 32U);
    t2 = t1;
    memset(t2, (unsigned char)2, 32U);
    t5 = (t0 + 4000);
    t6 = (t5 + 56U);
    t7 = *((char **)t6);
    t8 = (t7 + 56U);
    t9 = *((char **)t8);
    memcpy(t9, t1, 32U);
    xsi_driver_first_trans_delta(t5, 992U, 32U, 0LL);
    xsi_set_current_line(91, ng0);
    t1 = xsi_get_transient_memory(32U);
    memset(t1, 0, 32U);
    t2 = t1;
    memset(t2, (unsigned char)2, 32U);
    t5 = (t0 + 4000);
    t6 = (t5 + 56U);
    t7 = *((char **)t6);
    t8 = (t7 + 56U);
    t9 = *((char **)t8);
    memcpy(t9, t1, 32U);
    xsi_driver_first_trans_delta(t5, 1024U, 32U, 0LL);
    xsi_set_current_line(92, ng0);
    t1 = xsi_get_transient_memory(32U);
    memset(t1, 0, 32U);
    t2 = t1;
    memset(t2, (unsigned char)2, 32U);
    t5 = (t0 + 4000);
    t6 = (t5 + 56U);
    t7 = *((char **)t6);
    t8 = (t7 + 56U);
    t9 = *((char **)t8);
    memcpy(t9, t1, 32U);
    xsi_driver_first_trans_delta(t5, 1056U, 32U, 0LL);
    xsi_set_current_line(93, ng0);
    t1 = xsi_get_transient_memory(32U);
    memset(t1, 0, 32U);
    t2 = t1;
    memset(t2, (unsigned char)2, 32U);
    t5 = (t0 + 4000);
    t6 = (t5 + 56U);
    t7 = *((char **)t6);
    t8 = (t7 + 56U);
    t9 = *((char **)t8);
    memcpy(t9, t1, 32U);
    xsi_driver_first_trans_delta(t5, 1088U, 32U, 0LL);
    xsi_set_current_line(94, ng0);
    t1 = xsi_get_transient_memory(32U);
    memset(t1, 0, 32U);
    t2 = t1;
    memset(t2, (unsigned char)2, 32U);
    t5 = (t0 + 4000);
    t6 = (t5 + 56U);
    t7 = *((char **)t6);
    t8 = (t7 + 56U);
    t9 = *((char **)t8);
    memcpy(t9, t1, 32U);
    xsi_driver_first_trans_delta(t5, 1120U, 32U, 0LL);
    xsi_set_current_line(95, ng0);
    t1 = xsi_get_transient_memory(32U);
    memset(t1, 0, 32U);
    t2 = t1;
    memset(t2, (unsigned char)2, 32U);
    t5 = (t0 + 4000);
    t6 = (t5 + 56U);
    t7 = *((char **)t6);
    t8 = (t7 + 56U);
    t9 = *((char **)t8);
    memcpy(t9, t1, 32U);
    xsi_driver_first_trans_delta(t5, 1152U, 32U, 0LL);
    xsi_set_current_line(96, ng0);
    t1 = xsi_get_transient_memory(32U);
    memset(t1, 0, 32U);
    t2 = t1;
    memset(t2, (unsigned char)2, 32U);
    t5 = (t0 + 4000);
    t6 = (t5 + 56U);
    t7 = *((char **)t6);
    t8 = (t7 + 56U);
    t9 = *((char **)t8);
    memcpy(t9, t1, 32U);
    xsi_driver_first_trans_delta(t5, 1184U, 32U, 0LL);
    xsi_set_current_line(97, ng0);
    t1 = xsi_get_transient_memory(32U);
    memset(t1, 0, 32U);
    t2 = t1;
    memset(t2, (unsigned char)2, 32U);
    t5 = (t0 + 4000);
    t6 = (t5 + 56U);
    t7 = *((char **)t6);
    t8 = (t7 + 56U);
    t9 = *((char **)t8);
    memcpy(t9, t1, 32U);
    xsi_driver_first_trans_delta(t5, 1216U, 32U, 0LL);
    xsi_set_current_line(98, ng0);
    t1 = xsi_get_transient_memory(32U);
    memset(t1, 0, 32U);
    t2 = t1;
    memset(t2, (unsigned char)2, 32U);
    t5 = (t0 + 4000);
    t6 = (t5 + 56U);
    t7 = *((char **)t6);
    t8 = (t7 + 56U);
    t9 = *((char **)t8);
    memcpy(t9, t1, 32U);
    xsi_driver_first_trans_delta(t5, 1248U, 32U, 0LL);
    goto LAB3;

LAB5:    xsi_set_current_line(106, ng0);
    t8 = (t0 + 1352U);
    t10 = *((char **)t8);
    t8 = (t0 + 6908U);
    t16 = (t0 + 8346);
    t22 = (t21 + 0U);
    t23 = (t22 + 0U);
    *((int *)t23) = 0;
    t23 = (t22 + 4U);
    *((int *)t23) = 4;
    t23 = (t22 + 8U);
    *((int *)t23) = 1;
    t12 = (4 - 0);
    t13 = (t12 * 1);
    t13 = (t13 + 1);
    t23 = (t22 + 12U);
    *((unsigned int *)t23) = t13;
    t24 = ieee_p_3620187407_sub_4042748798_3965413181(IEEE_P_3620187407, t10, t8, t16, t21);
    if (t24 != 0)
        goto LAB11;

LAB13:
LAB12:    goto LAB6;

LAB8:    t8 = (t0 + 2152U);
    t9 = *((char **)t8);
    t18 = *((unsigned char *)t9);
    t19 = (t18 == (unsigned char)3);
    t3 = t19;
    goto LAB10;

LAB11:    xsi_set_current_line(107, ng0);
    t23 = (t0 + 1512U);
    t25 = *((char **)t23);
    t23 = (t0 + 1352U);
    t26 = *((char **)t23);
    t23 = (t0 + 6908U);
    t27 = ieee_p_3620187407_sub_514432868_3965413181(IEEE_P_3620187407, t26, t23);
    t28 = (t27 - 0);
    t13 = (t28 * 1);
    t14 = (32U * t13);
    t15 = (0U + t14);
    t29 = (t0 + 4000);
    t30 = (t29 + 56U);
    t31 = *((char **)t30);
    t32 = (t31 + 56U);
    t33 = *((char **)t32);
    memcpy(t33, t25, 32U);
    xsi_driver_first_trans_delta(t29, t15, 32U, 0LL);
    xsi_set_current_line(108, ng0);
    t1 = (t0 + 8351);
    t3 = (32U != 32U);
    if (t3 == 1)
        goto LAB14;

LAB15:    t5 = (t0 + 4000);
    t6 = (t5 + 56U);
    t7 = *((char **)t6);
    t8 = (t7 + 56U);
    t9 = *((char **)t8);
    memcpy(t9, t1, 32U);
    xsi_driver_first_trans_delta(t5, 0U, 32U, 0LL);
    goto LAB12;

LAB14:    xsi_size_not_matching(32U, 32U, 0);
    goto LAB15;

}
char *axi_lite_ipif_v1_01_a_a_1696492163_3306564128_sub_221363100_229454594(char *t1, char *t2, char *t3, int t4)
{
    char t5[248];
    char t6[24];
    char t7[16];
    char t14[64];
    char t18[32];
    char t26[24];
    char *t0;
    char *t8;
    char *t9;
    int t10;
    unsigned int t11;
    char *t12;
    char *t13;
    char *t15;
    char *t16;
    char *t17;
    char *t19;
    char *t20;
    int t21;
    char *t22;
    int t23;
    char *t24;
    char *t25;
    char *t27;
    char *t28;
    char *t29;
    char *t30;
    char *t31;
    char *t32;
    unsigned char t33;
    char *t34;
    char *t35;
    char *t36;
    int t37;
    int t38;
    int t39;
    char *t40;
    int t41;
    char *t42;
    int t43;
    int t44;
    unsigned int t45;
    char *t46;
    int t47;
    unsigned int t48;
    unsigned int t49;
    char *t50;
    char *t51;
    char *t52;
    unsigned int t53;
    unsigned int t54;
    unsigned int t55;
    int t56;
    unsigned int t57;
    unsigned int t58;

LAB0:    t8 = (t7 + 0U);
    t9 = (t8 + 0U);
    *((int *)t9) = 0;
    t9 = (t8 + 4U);
    *((int *)t9) = 63;
    t9 = (t8 + 8U);
    *((int *)t9) = 1;
    t10 = (63 - 0);
    t11 = (t10 * 1);
    t11 = (t11 + 1);
    t9 = (t8 + 12U);
    *((unsigned int *)t9) = t11;
    t9 = (t5 + 4U);
    t12 = ((IEEE_P_2592010699) + 4024);
    t13 = (t9 + 88U);
    *((char **)t13) = t12;
    t15 = (t9 + 56U);
    *((char **)t15) = t14;
    xsi_type_set_default_value(t12, t14, t7);
    t16 = (t9 + 64U);
    *((char **)t16) = t7;
    t17 = (t9 + 80U);
    *((unsigned int *)t17) = 64U;
    t19 = (t18 + 0U);
    t20 = (t19 + 0U);
    *((int *)t20) = 0;
    t20 = (t19 + 4U);
    *((int *)t20) = 1;
    t20 = (t19 + 8U);
    *((int *)t20) = 1;
    t21 = (1 - 0);
    t11 = (t21 * 1);
    t11 = (t11 + 1);
    t20 = (t19 + 12U);
    *((unsigned int *)t20) = t11;
    t20 = (t18 + 16U);
    t22 = (t20 + 0U);
    *((int *)t22) = 0;
    t22 = (t20 + 4U);
    *((int *)t22) = 8;
    t22 = (t20 + 8U);
    *((int *)t22) = 1;
    t23 = (8 - 0);
    t11 = (t23 * 1);
    t11 = (t11 + 1);
    t22 = (t20 + 12U);
    *((unsigned int *)t22) = t11;
    t22 = (t5 + 124U);
    t24 = (t1 + 16664);
    t25 = (t22 + 88U);
    *((char **)t25) = t24;
    t27 = (t22 + 56U);
    *((char **)t27) = t26;
    xsi_type_set_default_value(t24, t26, 0);
    t28 = (t22 + 64U);
    t29 = (t24 + 80U);
    t30 = *((char **)t29);
    *((char **)t28) = t30;
    t31 = (t22 + 80U);
    *((unsigned int *)t31) = 18U;
    t32 = (t6 + 4U);
    t33 = (t2 != 0);
    if (t33 == 1)
        goto LAB3;

LAB2:    t34 = (t6 + 12U);
    *((char **)t34) = t3;
    t35 = (t6 + 20U);
    *((int *)t35) = t4;
    t36 = (t3 + 12U);
    t11 = *((unsigned int *)t36);
    t37 = (t11 - 1);
    t38 = 0;
    t39 = t37;

LAB4:    if (t38 <= t39)
        goto LAB5;

LAB7:    t8 = (t22 + 56U);
    t12 = *((char **)t8);
    t33 = (18U != 18U);
    if (t33 == 1)
        goto LAB9;

LAB10:    t0 = xsi_get_transient_memory(18U);
    memcpy(t0, t12, 18U);

LAB1:    return t0;
LAB3:    *((char **)t32) = t2;
    goto LAB2;

LAB5:    t40 = (t3 + 0U);
    t41 = *((int *)t40);
    t42 = (t3 + 8U);
    t43 = *((int *)t42);
    t44 = (t38 - t41);
    t45 = (t44 * t43);
    t46 = (t3 + 4U);
    t47 = *((int *)t46);
    xsi_vhdl_check_range_of_index(t41, t47, t43, t38);
    t48 = (64U * t45);
    t49 = (0 + t48);
    t50 = (t2 + t49);
    t51 = (t9 + 56U);
    t52 = *((char **)t51);
    t51 = (t52 + 0);
    memcpy(t51, t50, 64U);
    t8 = (t9 + 56U);
    t12 = *((char **)t8);
    t8 = (t7 + 0U);
    t10 = *((int *)t8);
    t21 = (64 - t4);
    t11 = (t21 - t10);
    t13 = (t7 + 4U);
    t23 = *((int *)t13);
    t15 = (t7 + 8U);
    t37 = *((int *)t15);
    xsi_vhdl_check_range_of_slice(t10, t23, t37, t21, 63, 1);
    t45 = (t11 * 1U);
    t48 = (0 + t45);
    t16 = (t12 + t48);
    t17 = (t22 + 56U);
    t19 = *((char **)t17);
    t41 = (t38 - 0);
    t49 = (t41 * 1);
    xsi_vhdl_check_range_of_index(0, 1, 1, t38);
    t43 = (9 - 1);
    t44 = (t43 - 0);
    t53 = (t44 * 1);
    t53 = (t53 + 1);
    t53 = (t53 * 1U);
    t54 = (t53 * t49);
    t55 = (0 + t54);
    t17 = (t19 + t55);
    t47 = (64 - t4);
    t56 = (63 - t47);
    t57 = (t56 * 1);
    t57 = (t57 + 1);
    t58 = (1U * t57);
    memcpy(t17, t16, t58);

LAB6:    if (t38 == t39)
        goto LAB7;

LAB8:    t10 = (t38 + 1);
    t38 = t10;
    goto LAB4;

LAB9:    xsi_size_not_matching(18U, 18U, 0);
    goto LAB10;

LAB11:;
}
static void microblaze_v8_20_b_a_1127290325_3306564128_p_8(char *t0)
{
    char *t1;
    char *t2;
    int t3;
    int t4;
    char *t5;
    int t6;
    int t7;
    char *t8;
    char *t9;
    unsigned char t10;
    char *t11;
    char *t12;
    int t13;
    char *t14;
    int t15;
    int t16;
    char *t17;
    int t18;
    char *t19;
    int t20;
    int t21;
    int t22;
    char *t23;
    int t24;
    int t25;
    int t26;
    int t27;
    int t28;
    unsigned int t29;
    unsigned int t30;
    unsigned int t31;
    char *t32;
    unsigned char t33;
    unsigned char t34;
    char *t35;
    char *t36;

LAB0:    xsi_set_current_line(210, ng0);
    t1 = (t0 + 6656U);
    t2 = *((char **)t1);
    t1 = (t2 + 0);
    *((unsigned char *)t1) = (unsigned char)2;
    xsi_set_current_line(211, ng0);
    t1 = (t0 + 5216U);
    t2 = *((char **)t1);
    t3 = *((int *)t2);
    t4 = (t3 - 1);
    t1 = (t0 + 20581);
    *((int *)t1) = t4;
    t5 = (t0 + 20585);
    *((int *)t5) = 0;
    t6 = t4;
    t7 = 0;

LAB2:    if (t6 >= t7)
        goto LAB3;

LAB5:    xsi_set_current_line(215, ng0);
    t1 = (t0 + 6656U);
    t2 = *((char **)t1);
    t10 = *((unsigned char *)t2);
    t33 = ieee_p_2592010699_sub_1690584930_503743352(IEEE_P_2592010699, t10);
    t1 = (t0 + 11696);
    t5 = (t1 + 56U);
    t8 = *((char **)t5);
    t9 = (t8 + 56U);
    t11 = *((char **)t9);
    *((unsigned char *)t11) = t33;
    xsi_driver_first_trans_delta(t1, 1U, 1, 0LL);
    t1 = (t0 + 11056);
    *((int *)t1) = 1;

LAB1:    return;
LAB3:    xsi_set_current_line(212, ng0);
    t8 = (t0 + 6656U);
    t9 = *((char **)t8);
    t10 = *((unsigned char *)t9);
    t8 = (t0 + 3680U);
    t11 = *((char **)t8);
    t8 = (t0 + 5456U);
    t12 = *((char **)t8);
    t13 = *((int *)t12);
    t8 = (t0 + 5216U);
    t14 = *((char **)t8);
    t15 = *((int *)t14);
    t16 = (t13 * t15);
    t8 = (t0 + 6536U);
    t17 = *((char **)t8);
    t18 = *((int *)t17);
    t8 = (t0 + 5216U);
    t19 = *((char **)t8);
    t20 = *((int *)t19);
    t21 = (t18 * t20);
    t22 = (t16 - t21);
    t8 = (t0 + 5216U);
    t23 = *((char **)t8);
    t24 = *((int *)t23);
    t25 = (t22 - t24);
    t8 = (t0 + 20581);
    t26 = *((int *)t8);
    t27 = (t25 + t26);
    t28 = (t27 - 0);
    t29 = (t28 * 1);
    xsi_vhdl_check_range_of_index(0, 35, 1, t27);
    t30 = (1U * t29);
    t31 = (0 + t30);
    t32 = (t11 + t31);
    t33 = *((unsigned char *)t32);
    t34 = ieee_p_2592010699_sub_2545490612_503743352(IEEE_P_2592010699, t10, t33);
    t35 = (t0 + 6656U);
    t36 = *((char **)t35);
    t35 = (t36 + 0);
    *((unsigned char *)t35) = t34;

LAB4:    t1 = (t0 + 20581);
    t6 = *((int *)t1);
    t2 = (t0 + 20585);
    t7 = *((int *)t2);
    if (t6 == t7)
        goto LAB5;

LAB6:    t3 = (t6 + -1);
    t6 = t3;
    t5 = (t0 + 20581);
    *((int *)t5) = t6;
    goto LAB2;

}
char *proc_common_v3_00_a_a_3748692428_3212880686_sub_11161227599617629121_3696635108(char *t1, int t2, int t3, char *t4, char *t5)
{
    char t6[128];
    char t7[32];
    char t8[32];
    char t17[16];
    char *t0;
    char *t9;
    char *t10;
    int t11;
    unsigned int t12;
    char *t13;
    int t14;
    char *t15;
    char *t16;
    char *t18;
    char *t19;
    char *t20;
    char *t21;
    char *t22;
    char *t23;
    char *t24;
    char *t25;
    unsigned char t26;
    char *t27;
    int t28;
    int t29;
    int t30;
    int t31;
    int t32;
    int t33;
    char *t34;
    char *t35;
    int t36;
    int t37;
    int t38;
    char *t39;
    int t40;
    int t41;
    char *t42;
    int t43;
    unsigned int t44;
    unsigned int t45;
    char *t46;
    unsigned char t47;
    int t48;
    unsigned int t49;
    unsigned int t50;
    unsigned int t51;
    char *t52;
    unsigned char t53;
    char *t54;
    char *t55;
    int t56;
    unsigned int t57;
    int t58;
    unsigned int t59;
    int t60;
    unsigned int t61;
    int t62;
    int t63;
    unsigned int t64;
    unsigned int t65;
    unsigned int t66;
    unsigned int t67;

LAB0:    t9 = (t8 + 0U);
    t10 = (t9 + 0U);
    *((int *)t10) = 0;
    t10 = (t9 + 4U);
    *((int *)t10) = 15;
    t10 = (t9 + 8U);
    *((int *)t10) = 1;
    t11 = (15 - 0);
    t12 = (t11 * 1);
    t12 = (t12 + 1);
    t10 = (t9 + 12U);
    *((unsigned int *)t10) = t12;
    t10 = (t8 + 16U);
    t13 = (t10 + 0U);
    *((int *)t13) = 0;
    t13 = (t10 + 4U);
    *((int *)t13) = 0;
    t13 = (t10 + 8U);
    *((int *)t13) = 1;
    t14 = (0 - 0);
    t12 = (t14 * 1);
    t12 = (t12 + 1);
    t13 = (t10 + 12U);
    *((unsigned int *)t13) = t12;
    t13 = (t6 + 4U);
    t15 = (t1 + 5992);
    t16 = (t13 + 88U);
    *((char **)t16) = t15;
    t18 = (t13 + 56U);
    *((char **)t18) = t17;
    xsi_type_set_default_value(t15, t17, 0);
    t19 = (t13 + 64U);
    t20 = (t15 + 72U);
    t21 = *((char **)t20);
    *((char **)t19) = t21;
    t22 = (t13 + 80U);
    *((unsigned int *)t22) = 16U;
    t23 = (t7 + 4U);
    *((int *)t23) = t2;
    t24 = (t7 + 8U);
    *((int *)t24) = t3;
    t25 = (t7 + 12U);
    t26 = (t4 != 0);
    if (t26 == 1)
        goto LAB3;

LAB2:    t27 = (t7 + 20U);
    *((char **)t27) = t5;
    t28 = (t3 - 1);
    t29 = 0;
    t30 = t28;

LAB4:    if (t29 <= t30)
        goto LAB5;

LAB7:    t9 = (t13 + 56U);
    t10 = *((char **)t9);
    t26 = (16U != 16U);
    if (t26 == 1)
        goto LAB14;

LAB15:    t0 = xsi_get_transient_memory(16U);
    memcpy(t0, t10, 16U);

LAB1:    return t0;
LAB3:    *((char **)t25) = t4;
    goto LAB2;

LAB5:    t31 = (t2 - 1);
    t32 = 0;
    t33 = t31;

LAB8:    if (t32 <= t33)
        goto LAB9;

LAB11:
LAB6:    if (t29 == t30)
        goto LAB7;

LAB13:    t11 = (t29 + 1);
    t29 = t11;
    goto LAB4;

LAB9:    t34 = (t1 + 2752U);
    t35 = *((char **)t34);
    t36 = (t29 * t2);
    t37 = (t36 + t32);
    t34 = (t5 + 0U);
    t38 = *((int *)t34);
    t39 = (t5 + 8U);
    t40 = *((int *)t39);
    t41 = (t37 - t38);
    t12 = (t41 * t40);
    t42 = (t5 + 4U);
    t43 = *((int *)t42);
    xsi_vhdl_check_range_of_index(t38, t43, t40, t37);
    t44 = (1U * t12);
    t45 = (0 + t44);
    t46 = (t4 + t45);
    t47 = *((unsigned char *)t46);
    t48 = (t47 - 0);
    t49 = (t48 * 1);
    t50 = (1U * t49);
    t51 = (0 + t50);
    t52 = (t35 + t51);
    t53 = *((unsigned char *)t52);
    t54 = (t13 + 56U);
    t55 = *((char **)t54);
    t56 = (t32 - 0);
    t57 = (t56 * 1);
    t58 = (1 - 1);
    xsi_vhdl_check_range_of_index(0, t58, 1, t32);
    t59 = (1U * t57);
    t60 = (t29 - 0);
    t61 = (t60 * 1);
    xsi_vhdl_check_range_of_index(0, 15, 1, t29);
    t62 = (1 - 1);
    t63 = (t62 - 0);
    t64 = (t63 * 1);
    t64 = (t64 + 1);
    t64 = (t64 * 1U);
    t65 = (t64 * t61);
    t66 = (0 + t65);
    t67 = (t66 + t59);
    t54 = (t55 + t67);
    *((unsigned char *)t54) = t53;

LAB10:    if (t32 == t33)
        goto LAB11;

LAB12:    t11 = (t32 + 1);
    t32 = t11;
    goto LAB8;

LAB14:    xsi_size_not_matching(16U, 16U, 0);
    goto LAB15;

LAB16:;
}