int xilinxcorelib_a_2471744563_3212880686_sub_78417520111523319_3057020925(char *t1, int t2, int t3)
{
    char t4[128];
    char t5[16];
    char t9[8];
    int t0;
    char *t6;
    char *t7;
    char *t8;
    char *t10;
    char *t11;
    char *t12;
    char *t13;
    int t14;
    char *t15;
    char *t16;
    unsigned char t17;
    int t18;
    int t19;

LAB0:    t6 = (t4 + 4U);
    t7 = ((STD_STANDARD) + 384);
    t8 = (t6 + 88U);
    *((char **)t8) = t7;
    t10 = (t6 + 56U);
    *((char **)t10) = t9;
    xsi_type_set_default_value(t7, t9, 0);
    t11 = (t6 + 80U);
    *((unsigned int *)t11) = 4U;
    t12 = (t5 + 4U);
    *((int *)t12) = t2;
    t13 = (t5 + 8U);
    *((int *)t13) = t3;
    t14 = (t2 / t3);
    t15 = (t6 + 56U);
    t16 = *((char **)t15);
    t15 = (t16 + 0);
    *((int *)t15) = t14;
    t14 = xsi_vhdl_mod(t2, t3);
    t17 = (t14 != 0);
    if (t17 != 0)
        goto LAB2;

LAB4:
LAB3:    t7 = (t6 + 56U);
    t8 = *((char **)t7);
    t14 = *((int *)t8);
    t0 = t14;

LAB1:    return t0;
LAB2:    t7 = (t6 + 56U);
    t8 = *((char **)t7);
    t18 = *((int *)t8);
    t19 = (t18 + 1);
    t7 = (t6 + 56U);
    t10 = *((char **)t7);
    t7 = (t10 + 0);
    *((int *)t7) = t19;
    goto LAB3;

LAB5:;
}
static void work_a_0426720064_3212880686_p_0(char *t0)
{
    char t14[16];
    char t51[16];
    char t55[16];
    char t68[16];
    char t69[16];
    char t70[16];
    char t75[16];
    char t80[16];
    char *t1;
    char *t2;
    unsigned int t3;
    unsigned int t4;
    unsigned int t5;
    char *t6;
    char *t7;
    char *t8;
    char *t9;
    char *t10;
    unsigned char t11;
    unsigned char t12;
    unsigned char t13;
    int t15;
    int t16;
    char *t17;
    int t18;
    int t19;
    int t20;
    char *t21;
    char *t22;
    int t23;
    int t24;
    int t25;
    char *t26;
    char *t27;
    int t28;
    int t29;
    int t30;
    char *t31;
    char *t32;
    int t33;
    int t34;
    int t35;
    char *t36;
    char *t37;
    int t38;
    int t39;
    int t40;
    char *t41;
    char *t42;
    int t43;
    int t44;
    char *t45;
    char *t46;
    char *t47;
    char *t48;
    char *t49;
    char *t50;
    int t52;
    int t53;
    int t54;
    unsigned int t56;
    unsigned char t57;
    unsigned char t58;
    unsigned char t59;
    unsigned char t60;
    unsigned char t61;
    unsigned char t62;
    unsigned char t63;
    unsigned int t64;
    unsigned int t65;
    unsigned int t66;
    unsigned char t67;
    char *t71;
    char *t72;
    char *t73;
    char *t74;
    char *t76;
    char *t77;
    char *t78;
    char *t79;
    char *t81;
    char *t82;
    unsigned int t83;
    char *t84;
    char *t85;
    char *t86;
    char *t87;
    char *t88;

LAB0:    xsi_set_current_line(95, ng0);
    t1 = (t0 + 1032U);
    t2 = *((char **)t1);
    t3 = (31 - 3);
    t4 = (t3 * 1U);
    t5 = (0 + t4);
    t1 = (t2 + t5);
    t6 = (t0 + 8784);
    t7 = (t6 + 56U);
    t8 = *((char **)t7);
    t9 = (t8 + 56U);
    t10 = *((char **)t9);
    memcpy(t10, t1, 4U);
    xsi_driver_first_trans_fast(t6);
    xsi_set_current_line(96, ng0);
    t1 = (t0 + 1032U);
    t2 = *((char **)t1);
    t3 = (31 - 7);
    t4 = (t3 * 1U);
    t5 = (0 + t4);
    t1 = (t2 + t5);
    t6 = (t0 + 8848);
    t7 = (t6 + 56U);
    t8 = *((char **)t7);
    t9 = (t8 + 56U);
    t10 = *((char **)t9);
    memcpy(t10, t1, 4U);
    xsi_driver_first_trans_fast(t6);
    xsi_set_current_line(97, ng0);
    t1 = (t0 + 1032U);
    t2 = *((char **)t1);
    t3 = (31 - 11);
    t4 = (t3 * 1U);
    t5 = (0 + t4);
    t1 = (t2 + t5);
    t6 = (t0 + 8912);
    t7 = (t6 + 56U);
    t8 = *((char **)t7);
    t9 = (t8 + 56U);
    t10 = *((char **)t9);
    memcpy(t10, t1, 4U);
    xsi_driver_first_trans_fast(t6);
    xsi_set_current_line(98, ng0);
    t1 = (t0 + 1032U);
    t2 = *((char **)t1);
    t3 = (31 - 15);
    t4 = (t3 * 1U);
    t5 = (0 + t4);
    t1 = (t2 + t5);
    t6 = (t0 + 8976);
    t7 = (t6 + 56U);
    t8 = *((char **)t7);
    t9 = (t8 + 56U);
    t10 = *((char **)t9);
    memcpy(t10, t1, 4U);
    xsi_driver_first_trans_fast(t6);
    xsi_set_current_line(99, ng0);
    t1 = (t0 + 1032U);
    t2 = *((char **)t1);
    t3 = (31 - 19);
    t4 = (t3 * 1U);
    t5 = (0 + t4);
    t1 = (t2 + t5);
    t6 = (t0 + 9040);
    t7 = (t6 + 56U);
    t8 = *((char **)t7);
    t9 = (t8 + 56U);
    t10 = *((char **)t9);
    memcpy(t10, t1, 4U);
    xsi_driver_first_trans_fast(t6);
    xsi_set_current_line(100, ng0);
    t1 = (t0 + 1032U);
    t2 = *((char **)t1);
    t3 = (31 - 23);
    t4 = (t3 * 1U);
    t5 = (0 + t4);
    t1 = (t2 + t5);
    t6 = (t0 + 9104);
    t7 = (t6 + 56U);
    t8 = *((char **)t7);
    t9 = (t8 + 56U);
    t10 = *((char **)t9);
    memcpy(t10, t1, 4U);
    xsi_driver_first_trans_fast(t6);
    xsi_set_current_line(101, ng0);
    t1 = (t0 + 1032U);
    t2 = *((char **)t1);
    t3 = (31 - 27);
    t4 = (t3 * 1U);
    t5 = (0 + t4);
    t1 = (t2 + t5);
    t6 = (t0 + 9168);
    t7 = (t6 + 56U);
    t8 = *((char **)t7);
    t9 = (t8 + 56U);
    t10 = *((char **)t9);
    memcpy(t10, t1, 4U);
    xsi_driver_first_trans_fast(t6);
    xsi_set_current_line(102, ng0);
    t1 = (t0 + 1032U);
    t2 = *((char **)t1);
    t3 = (31 - 31);
    t4 = (t3 * 1U);
    t5 = (0 + t4);
    t1 = (t2 + t5);
    t6 = (t0 + 9232);
    t7 = (t6 + 56U);
    t8 = *((char **)t7);
    t9 = (t8 + 56U);
    t10 = *((char **)t9);
    memcpy(t10, t1, 4U);
    xsi_driver_first_trans_fast(t6);
    xsi_set_current_line(104, ng0);
    t1 = (t0 + 1192U);
    t2 = *((char **)t1);
    t3 = (31 - 3);
    t4 = (t3 * 1U);
    t5 = (0 + t4);
    t1 = (t2 + t5);
    t6 = (t0 + 9296);
    t7 = (t6 + 56U);
    t8 = *((char **)t7);
    t9 = (t8 + 56U);
    t10 = *((char **)t9);
    memcpy(t10, t1, 4U);
    xsi_driver_first_trans_fast(t6);
    xsi_set_current_line(105, ng0);
    t1 = (t0 + 1192U);
    t2 = *((char **)t1);
    t3 = (31 - 7);
    t4 = (t3 * 1U);
    t5 = (0 + t4);
    t1 = (t2 + t5);
    t6 = (t0 + 9360);
    t7 = (t6 + 56U);
    t8 = *((char **)t7);
    t9 = (t8 + 56U);
    t10 = *((char **)t9);
    memcpy(t10, t1, 4U);
    xsi_driver_first_trans_fast(t6);
    xsi_set_current_line(106, ng0);
    t1 = (t0 + 1192U);
    t2 = *((char **)t1);
    t3 = (31 - 11);
    t4 = (t3 * 1U);
    t5 = (0 + t4);
    t1 = (t2 + t5);
    t6 = (t0 + 9424);
    t7 = (t6 + 56U);
    t8 = *((char **)t7);
    t9 = (t8 + 56U);
    t10 = *((char **)t9);
    memcpy(t10, t1, 4U);
    xsi_driver_first_trans_fast(t6);
    xsi_set_current_line(107, ng0);
    t1 = (t0 + 1192U);
    t2 = *((char **)t1);
    t3 = (31 - 15);
    t4 = (t3 * 1U);
    t5 = (0 + t4);
    t1 = (t2 + t5);
    t6 = (t0 + 9488);
    t7 = (t6 + 56U);
    t8 = *((char **)t7);
    t9 = (t8 + 56U);
    t10 = *((char **)t9);
    memcpy(t10, t1, 4U);
    xsi_driver_first_trans_fast(t6);
    xsi_set_current_line(108, ng0);
    t1 = (t0 + 1192U);
    t2 = *((char **)t1);
    t3 = (31 - 19);
    t4 = (t3 * 1U);
    t5 = (0 + t4);
    t1 = (t2 + t5);
    t6 = (t0 + 9552);
    t7 = (t6 + 56U);
    t8 = *((char **)t7);
    t9 = (t8 + 56U);
    t10 = *((char **)t9);
    memcpy(t10, t1, 4U);
    xsi_driver_first_trans_fast(t6);
    xsi_set_current_line(109, ng0);
    t1 = (t0 + 1192U);
    t2 = *((char **)t1);
    t3 = (31 - 23);
    t4 = (t3 * 1U);
    t5 = (0 + t4);
    t1 = (t2 + t5);
    t6 = (t0 + 9616);
    t7 = (t6 + 56U);
    t8 = *((char **)t7);
    t9 = (t8 + 56U);
    t10 = *((char **)t9);
    memcpy(t10, t1, 4U);
    xsi_driver_first_trans_fast(t6);
    xsi_set_current_line(110, ng0);
    t1 = (t0 + 1192U);
    t2 = *((char **)t1);
    t3 = (31 - 27);
    t4 = (t3 * 1U);
    t5 = (0 + t4);
    t1 = (t2 + t5);
    t6 = (t0 + 9680);
    t7 = (t6 + 56U);
    t8 = *((char **)t7);
    t9 = (t8 + 56U);
    t10 = *((char **)t9);
    memcpy(t10, t1, 4U);
    xsi_driver_first_trans_fast(t6);
    xsi_set_current_line(111, ng0);
    t1 = (t0 + 1192U);
    t2 = *((char **)t1);
    t3 = (31 - 31);
    t4 = (t3 * 1U);
    t5 = (0 + t4);
    t1 = (t2 + t5);
    t6 = (t0 + 9744);
    t7 = (t6 + 56U);
    t8 = *((char **)t7);
    t9 = (t8 + 56U);
    t10 = *((char **)t9);
    memcpy(t10, t1, 4U);
    xsi_driver_first_trans_fast(t6);
    xsi_set_current_line(114, ng0);
    t1 = (t0 + 1352U);
    t2 = *((char **)t1);
    t1 = (t0 + 15056U);
    t12 = ieee_p_1242562249_sub_3307759752501503797_1035706684(IEEE_P_1242562249, t2, t1, 12);
    if (t12 == 1)
        goto LAB5;

LAB6:    t6 = (t0 + 1352U);
    t7 = *((char **)t6);
    t6 = (t0 + 15056U);
    t13 = ieee_p_1242562249_sub_3307759752501503797_1035706684(IEEE_P_1242562249, t7, t6, 13);
    t11 = t13;

LAB7:    if (t11 != 0)
        goto LAB2;

LAB4:    xsi_set_current_line(149, ng0);
    t1 = (t0 + 3272U);
    t2 = *((char **)t1);
    t1 = (t0 + 15200U);
    t15 = ieee_p_1242562249_sub_17802405650254020620_1035706684(IEEE_P_1242562249, t2, t1);
    t16 = (t15 * 10000000);
    t6 = (t0 + 3112U);
    t7 = *((char **)t6);
    t6 = (t0 + 15184U);
    t18 = ieee_p_1242562249_sub_17802405650254020620_1035706684(IEEE_P_1242562249, t7, t6);
    t19 = (t18 * 1000000);
    t20 = (t16 + t19);
    t8 = (t0 + 2952U);
    t9 = *((char **)t8);
    t8 = (t0 + 15168U);
    t23 = ieee_p_1242562249_sub_17802405650254020620_1035706684(IEEE_P_1242562249, t9, t8);
    t24 = (t23 * 100000);
    t25 = (t20 + t24);
    t10 = (t0 + 2792U);
    t17 = *((char **)t10);
    t10 = (t0 + 15152U);
    t28 = ieee_p_1242562249_sub_17802405650254020620_1035706684(IEEE_P_1242562249, t17, t10);
    t29 = (t28 * 10000);
    t30 = (t25 + t29);
    t21 = (t0 + 2632U);
    t22 = *((char **)t21);
    t21 = (t0 + 15136U);
    t33 = ieee_p_1242562249_sub_17802405650254020620_1035706684(IEEE_P_1242562249, t22, t21);
    t34 = (t33 * 1000);
    t35 = (t30 + t34);
    t26 = (t0 + 2472U);
    t27 = *((char **)t26);
    t26 = (t0 + 15120U);
    t38 = ieee_p_1242562249_sub_17802405650254020620_1035706684(IEEE_P_1242562249, t27, t26);
    t39 = (t38 * 100);
    t40 = (t35 + t39);
    t31 = (t0 + 2312U);
    t32 = *((char **)t31);
    t31 = (t0 + 15104U);
    t43 = ieee_p_1242562249_sub_17802405650254020620_1035706684(IEEE_P_1242562249, t32, t31);
    t44 = (t43 * 10);
    t52 = (t40 + t44);
    t36 = (t0 + 2152U);
    t37 = *((char **)t36);
    t36 = (t0 + 15088U);
    t53 = ieee_p_1242562249_sub_17802405650254020620_1035706684(IEEE_P_1242562249, t37, t36);
    t54 = (t52 + t53);
    t41 = ieee_p_1242562249_sub_10420449594411817395_1035706684(IEEE_P_1242562249, t14, t54, 32);
    t42 = (t0 + 9872);
    t45 = (t42 + 56U);
    t46 = *((char **)t45);
    t47 = (t46 + 56U);
    t48 = *((char **)t47);
    memcpy(t48, t41, 32U);
    xsi_driver_first_trans_fast(t42);
    xsi_set_current_line(158, ng0);
    t1 = (t0 + 4552U);
    t2 = *((char **)t1);
    t1 = (t0 + 15328U);
    t15 = ieee_p_1242562249_sub_17802405650254020620_1035706684(IEEE_P_1242562249, t2, t1);
    t16 = (t15 * 10000000);
    t6 = (t0 + 4392U);
    t7 = *((char **)t6);
    t6 = (t0 + 15312U);
    t18 = ieee_p_1242562249_sub_17802405650254020620_1035706684(IEEE_P_1242562249, t7, t6);
    t19 = (t18 * 1000000);
    t20 = (t16 + t19);
    t8 = (t0 + 4232U);
    t9 = *((char **)t8);
    t8 = (t0 + 15296U);
    t23 = ieee_p_1242562249_sub_17802405650254020620_1035706684(IEEE_P_1242562249, t9, t8);
    t24 = (t23 * 100000);
    t25 = (t20 + t24);
    t10 = (t0 + 4072U);
    t17 = *((char **)t10);
    t10 = (t0 + 15280U);
    t28 = ieee_p_1242562249_sub_17802405650254020620_1035706684(IEEE_P_1242562249, t17, t10);
    t29 = (t28 * 10000);
    t30 = (t25 + t29);
    t21 = (t0 + 3912U);
    t22 = *((char **)t21);
    t21 = (t0 + 15264U);
    t33 = ieee_p_1242562249_sub_17802405650254020620_1035706684(IEEE_P_1242562249, t22, t21);
    t34 = (t33 * 1000);
    t35 = (t30 + t34);
    t26 = (t0 + 3752U);
    t27 = *((char **)t26);
    t26 = (t0 + 15248U);
    t38 = ieee_p_1242562249_sub_17802405650254020620_1035706684(IEEE_P_1242562249, t27, t26);
    t39 = (t38 * 100);
    t40 = (t35 + t39);
    t31 = (t0 + 3592U);
    t32 = *((char **)t31);
    t31 = (t0 + 15232U);
    t43 = ieee_p_1242562249_sub_17802405650254020620_1035706684(IEEE_P_1242562249, t32, t31);
    t44 = (t43 * 10);
    t52 = (t40 + t44);
    t36 = (t0 + 3432U);
    t37 = *((char **)t36);
    t36 = (t0 + 15216U);
    t53 = ieee_p_1242562249_sub_17802405650254020620_1035706684(IEEE_P_1242562249, t37, t36);
    t54 = (t52 + t53);
    t41 = ieee_p_1242562249_sub_10420449594411817395_1035706684(IEEE_P_1242562249, t14, t54, 32);
    t42 = (t0 + 10000);
    t45 = (t42 + 56U);
    t46 = *((char **)t45);
    t47 = (t46 + 56U);
    t48 = *((char **)t47);
    memcpy(t48, t41, 32U);
    xsi_driver_first_trans_fast(t42);

LAB3:    xsi_set_current_line(171, ng0);
    t1 = (t0 + 1352U);
    t2 = *((char **)t1);
    t1 = (t0 + 16005);
    t15 = xsi_mem_cmp(t1, t2, 4U);
    if (t15 == 1)
        goto LAB15;

LAB20:    t7 = (t0 + 16009);
    t16 = xsi_mem_cmp(t7, t2, 4U);
    if (t16 == 1)
        goto LAB16;

LAB21:    t9 = (t0 + 16013);
    t18 = xsi_mem_cmp(t9, t2, 4U);
    if (t18 == 1)
        goto LAB17;

LAB22:    t17 = (t0 + 16017);
    t19 = xsi_mem_cmp(t17, t2, 4U);
    if (t19 == 1)
        goto LAB18;

LAB23:
LAB19:
LAB14:    xsi_set_current_line(250, ng0);
    t1 = (t0 + 5512U);
    t2 = *((char **)t1);
    t15 = (32 - 1);
    t16 = (t15 - 31);
    t3 = (t16 * -1);
    t4 = (1U * t3);
    t5 = (0 + t4);
    t1 = (t2 + t5);
    t11 = *((unsigned char *)t1);
    t12 = (t11 == (unsigned char)3);
    if (t12 != 0)
        goto LAB76;

LAB78:    xsi_set_current_line(254, ng0);
    t1 = (t0 + 16025);
    t6 = (t0 + 10384);
    t7 = (t6 + 56U);
    t8 = *((char **)t7);
    t9 = (t8 + 56U);
    t10 = *((char **)t9);
    memcpy(t10, t1, 4U);
    xsi_driver_first_trans_fast(t6);
    xsi_set_current_line(255, ng0);
    t1 = (t0 + 5512U);
    t2 = *((char **)t1);
    t1 = (t0 + 10448);
    t6 = (t1 + 56U);
    t7 = *((char **)t6);
    t8 = (t7 + 56U);
    t9 = *((char **)t8);
    memcpy(t9, t2, 32U);
    xsi_driver_first_trans_fast(t1);

LAB77:    xsi_set_current_line(258, ng0);
    t1 = (t0 + 7112U);
    t2 = *((char **)t1);
    t1 = (t0 + 15584U);
    t15 = ieee_p_1242562249_sub_17802405650254020620_1035706684(IEEE_P_1242562249, t2, t1);
    t16 = xsi_vhdl_mod(t15, 10);
    t6 = ieee_p_1242562249_sub_10420449594411817395_1035706684(IEEE_P_1242562249, t14, t16, 4);
    t7 = (t0 + 10512);
    t8 = (t7 + 56U);
    t9 = *((char **)t8);
    t10 = (t9 + 56U);
    t17 = *((char **)t10);
    memcpy(t17, t6, 4U);
    xsi_driver_first_trans_fast(t7);
    xsi_set_current_line(259, ng0);
    t1 = (t0 + 7112U);
    t2 = *((char **)t1);
    t1 = (t0 + 15584U);
    t15 = ieee_p_1242562249_sub_17802405650254020620_1035706684(IEEE_P_1242562249, t2, t1);
    t16 = (t15 / 10);
    t18 = xsi_vhdl_mod(t16, 10);
    t6 = ieee_p_1242562249_sub_10420449594411817395_1035706684(IEEE_P_1242562249, t14, t18, 4);
    t7 = (t0 + 10576);
    t8 = (t7 + 56U);
    t9 = *((char **)t8);
    t10 = (t9 + 56U);
    t17 = *((char **)t10);
    memcpy(t17, t6, 4U);
    xsi_driver_first_trans_fast(t7);
    xsi_set_current_line(260, ng0);
    t1 = (t0 + 7112U);
    t2 = *((char **)t1);
    t1 = (t0 + 15584U);
    t15 = ieee_p_1242562249_sub_17802405650254020620_1035706684(IEEE_P_1242562249, t2, t1);
    t16 = (t15 / 100);
    t18 = xsi_vhdl_mod(t16, 10);
    t6 = ieee_p_1242562249_sub_10420449594411817395_1035706684(IEEE_P_1242562249, t14, t18, 4);
    t7 = (t0 + 10640);
    t8 = (t7 + 56U);
    t9 = *((char **)t8);
    t10 = (t9 + 56U);
    t17 = *((char **)t10);
    memcpy(t17, t6, 4U);
    xsi_driver_first_trans_fast(t7);
    xsi_set_current_line(261, ng0);
    t1 = (t0 + 7112U);
    t2 = *((char **)t1);
    t1 = (t0 + 15584U);
    t15 = ieee_p_1242562249_sub_17802405650254020620_1035706684(IEEE_P_1242562249, t2, t1);
    t16 = (t15 / 1000);
    t18 = xsi_vhdl_mod(t16, 10);
    t6 = ieee_p_1242562249_sub_10420449594411817395_1035706684(IEEE_P_1242562249, t14, t18, 4);
    t7 = (t0 + 10704);
    t8 = (t7 + 56U);
    t9 = *((char **)t8);
    t10 = (t9 + 56U);
    t17 = *((char **)t10);
    memcpy(t17, t6, 4U);
    xsi_driver_first_trans_fast(t7);
    xsi_set_current_line(262, ng0);
    t1 = (t0 + 7112U);
    t2 = *((char **)t1);
    t1 = (t0 + 15584U);
    t15 = ieee_p_1242562249_sub_17802405650254020620_1035706684(IEEE_P_1242562249, t2, t1);
    t16 = (t15 / 10000);
    t18 = xsi_vhdl_mod(t16, 10);
    t6 = ieee_p_1242562249_sub_10420449594411817395_1035706684(IEEE_P_1242562249, t14, t18, 4);
    t7 = (t0 + 10768);
    t8 = (t7 + 56U);
    t9 = *((char **)t8);
    t10 = (t9 + 56U);
    t17 = *((char **)t10);
    memcpy(t17, t6, 4U);
    xsi_driver_first_trans_fast(t7);
    xsi_set_current_line(263, ng0);
    t1 = (t0 + 7112U);
    t2 = *((char **)t1);
    t1 = (t0 + 15584U);
    t15 = ieee_p_1242562249_sub_17802405650254020620_1035706684(IEEE_P_1242562249, t2, t1);
    t16 = (t15 / 100000);
    t18 = xsi_vhdl_mod(t16, 10);
    t6 = ieee_p_1242562249_sub_10420449594411817395_1035706684(IEEE_P_1242562249, t14, t18, 4);
    t7 = (t0 + 10832);
    t8 = (t7 + 56U);
    t9 = *((char **)t8);
    t10 = (t9 + 56U);
    t17 = *((char **)t10);
    memcpy(t17, t6, 4U);
    xsi_driver_first_trans_fast(t7);
    xsi_set_current_line(264, ng0);
    t1 = (t0 + 7112U);
    t2 = *((char **)t1);
    t1 = (t0 + 15584U);
    t15 = ieee_p_1242562249_sub_17802405650254020620_1035706684(IEEE_P_1242562249, t2, t1);
    t16 = (t15 / 1000000);
    t18 = xsi_vhdl_mod(t16, 10);
    t6 = ieee_p_1242562249_sub_10420449594411817395_1035706684(IEEE_P_1242562249, t14, t18, 4);
    t7 = (t0 + 10896);
    t8 = (t7 + 56U);
    t9 = *((char **)t8);
    t10 = (t9 + 56U);
    t17 = *((char **)t10);
    memcpy(t17, t6, 4U);
    xsi_driver_first_trans_fast(t7);
    xsi_set_current_line(265, ng0);
    t1 = (t0 + 7112U);
    t2 = *((char **)t1);
    t1 = (t0 + 15584U);
    t15 = ieee_p_1242562249_sub_17802405650254020620_1035706684(IEEE_P_1242562249, t2, t1);
    t16 = (t15 / 10000000);
    t18 = xsi_vhdl_mod(t16, 10);
    t6 = ieee_p_1242562249_sub_10420449594411817395_1035706684(IEEE_P_1242562249, t14, t18, 4);
    t7 = (t0 + 10960);
    t8 = (t7 + 56U);
    t9 = *((char **)t8);
    t10 = (t9 + 56U);
    t17 = *((char **)t10);
    memcpy(t17, t6, 4U);
    xsi_driver_first_trans_fast(t7);
    xsi_set_current_line(267, ng0);
    t1 = (t0 + 6952U);
    t2 = *((char **)t1);
    t1 = (t0 + 6792U);
    t6 = *((char **)t1);
    t7 = ((IEEE_P_2592010699) + 4000);
    t8 = (t0 + 15568U);
    t9 = (t0 + 15552U);
    t1 = xsi_base_array_concat(t1, t14, t7, (char)97, t2, t8, (char)97, t6, t9, (char)101);
    t10 = (t0 + 6632U);
    t17 = *((char **)t10);
    t21 = ((IEEE_P_2592010699) + 4000);
    t22 = (t0 + 15536U);
    t10 = xsi_base_array_concat(t10, t51, t21, (char)97, t1, t14, (char)97, t17, t22, (char)101);
    t26 = (t0 + 6472U);
    t27 = *((char **)t26);
    t31 = ((IEEE_P_2592010699) + 4000);
    t32 = (t0 + 15520U);
    t26 = xsi_base_array_concat(t26, t55, t31, (char)97, t10, t51, (char)97, t27, t32, (char)101);
    t36 = (t0 + 6312U);
    t37 = *((char **)t36);
    t41 = ((IEEE_P_2592010699) + 4000);
    t42 = (t0 + 15504U);
    t36 = xsi_base_array_concat(t36, t68, t41, (char)97, t26, t55, (char)97, t37, t42, (char)101);
    t45 = (t0 + 6152U);
    t46 = *((char **)t45);
    t47 = ((IEEE_P_2592010699) + 4000);
    t48 = (t0 + 15488U);
    t45 = xsi_base_array_concat(t45, t69, t47, (char)97, t36, t68, (char)97, t46, t48, (char)101);
    t49 = (t0 + 5992U);
    t50 = *((char **)t49);
    t71 = ((IEEE_P_2592010699) + 4000);
    t72 = (t0 + 15472U);
    t49 = xsi_base_array_concat(t49, t70, t71, (char)97, t45, t69, (char)97, t50, t72, (char)101);
    t73 = (t0 + 5832U);
    t74 = *((char **)t73);
    t76 = ((IEEE_P_2592010699) + 4000);
    t77 = (t0 + 15456U);
    t73 = xsi_base_array_concat(t73, t75, t76, (char)97, t49, t70, (char)97, t74, t77, (char)101);
    t78 = (t0 + 5672U);
    t79 = *((char **)t78);
    t81 = ((IEEE_P_2592010699) + 4000);
    t82 = (t0 + 15440U);
    t78 = xsi_base_array_concat(t78, t80, t81, (char)97, t73, t75, (char)97, t79, t82, (char)101);
    t3 = (4U + 4U);
    t4 = (t3 + 4U);
    t5 = (t4 + 4U);
    t56 = (t5 + 4U);
    t64 = (t56 + 4U);
    t65 = (t64 + 4U);
    t66 = (t65 + 4U);
    t83 = (t66 + 4U);
    t11 = (36U != t83);
    if (t11 == 1)
        goto LAB79;

LAB80:    t84 = (t0 + 11024);
    t85 = (t84 + 56U);
    t86 = *((char **)t85);
    t87 = (t86 + 56U);
    t88 = *((char **)t87);
    memcpy(t88, t78, 36U);
    xsi_driver_first_trans_fast_port(t84);
    t1 = (t0 + 8704);
    *((int *)t1) = 1;

LAB1:    return;
LAB2:    xsi_set_current_line(116, ng0);
    t8 = (t0 + 3112U);
    t9 = *((char **)t8);
    t8 = (t0 + 15184U);
    t15 = ieee_p_1242562249_sub_17802405650254020620_1035706684(IEEE_P_1242562249, t9, t8);
    t16 = (t15 * 1000000);
    t10 = (t0 + 2952U);
    t17 = *((char **)t10);
    t10 = (t0 + 15168U);
    t18 = ieee_p_1242562249_sub_17802405650254020620_1035706684(IEEE_P_1242562249, t17, t10);
    t19 = (t18 * 100000);
    t20 = (t16 + t19);
    t21 = (t0 + 2792U);
    t22 = *((char **)t21);
    t21 = (t0 + 15152U);
    t23 = ieee_p_1242562249_sub_17802405650254020620_1035706684(IEEE_P_1242562249, t22, t21);
    t24 = (t23 * 10000);
    t25 = (t20 + t24);
    t26 = (t0 + 2632U);
    t27 = *((char **)t26);
    t26 = (t0 + 15136U);
    t28 = ieee_p_1242562249_sub_17802405650254020620_1035706684(IEEE_P_1242562249, t27, t26);
    t29 = (t28 * 1000);
    t30 = (t25 + t29);
    t31 = (t0 + 2472U);
    t32 = *((char **)t31);
    t31 = (t0 + 15120U);
    t33 = ieee_p_1242562249_sub_17802405650254020620_1035706684(IEEE_P_1242562249, t32, t31);
    t34 = (t33 * 100);
    t35 = (t30 + t34);
    t36 = (t0 + 2312U);
    t37 = *((char **)t36);
    t36 = (t0 + 15104U);
    t38 = ieee_p_1242562249_sub_17802405650254020620_1035706684(IEEE_P_1242562249, t37, t36);
    t39 = (t38 * 10);
    t40 = (t35 + t39);
    t41 = (t0 + 2152U);
    t42 = *((char **)t41);
    t41 = (t0 + 15088U);
    t43 = ieee_p_1242562249_sub_17802405650254020620_1035706684(IEEE_P_1242562249, t42, t41);
    t44 = (t40 + t43);
    t45 = ieee_p_1242562249_sub_10420449594411817395_1035706684(IEEE_P_1242562249, t14, t44, 32);
    t46 = (t0 + 9808);
    t47 = (t46 + 56U);
    t48 = *((char **)t47);
    t49 = (t48 + 56U);
    t50 = *((char **)t49);
    memcpy(t50, t45, 32U);
    xsi_driver_first_trans_fast(t46);
    xsi_set_current_line(126, ng0);
    t1 = (t0 + 3272U);
    t2 = *((char **)t1);
    t1 = (t0 + 15200U);
    t11 = ieee_p_1242562249_sub_3307759752501503797_1035706684(IEEE_P_1242562249, t2, t1, 1);
    if (t11 != 0)
        goto LAB8;

LAB10:    xsi_set_current_line(129, ng0);
    t1 = (t0 + 5032U);
    t2 = *((char **)t1);
    t1 = (t0 + 9872);
    t6 = (t1 + 56U);
    t7 = *((char **)t6);
    t8 = (t7 + 56U);
    t9 = *((char **)t8);
    memcpy(t9, t2, 32U);
    xsi_driver_first_trans_fast(t1);

LAB9:    xsi_set_current_line(133, ng0);
    t1 = (t0 + 4392U);
    t2 = *((char **)t1);
    t1 = (t0 + 15312U);
    t15 = ieee_p_1242562249_sub_17802405650254020620_1035706684(IEEE_P_1242562249, t2, t1);
    t16 = (t15 * 1000000);
    t6 = (t0 + 4232U);
    t7 = *((char **)t6);
    t6 = (t0 + 15296U);
    t18 = ieee_p_1242562249_sub_17802405650254020620_1035706684(IEEE_P_1242562249, t7, t6);
    t19 = (t18 * 100000);
    t20 = (t16 + t19);
    t8 = (t0 + 4072U);
    t9 = *((char **)t8);
    t8 = (t0 + 15280U);
    t23 = ieee_p_1242562249_sub_17802405650254020620_1035706684(IEEE_P_1242562249, t9, t8);
    t24 = (t23 * 10000);
    t25 = (t20 + t24);
    t10 = (t0 + 3912U);
    t17 = *((char **)t10);
    t10 = (t0 + 15264U);
    t28 = ieee_p_1242562249_sub_17802405650254020620_1035706684(IEEE_P_1242562249, t17, t10);
    t29 = (t28 * 1000);
    t30 = (t25 + t29);
    t21 = (t0 + 3752U);
    t22 = *((char **)t21);
    t21 = (t0 + 15248U);
    t33 = ieee_p_1242562249_sub_17802405650254020620_1035706684(IEEE_P_1242562249, t22, t21);
    t34 = (t33 * 100);
    t35 = (t30 + t34);
    t26 = (t0 + 3592U);
    t27 = *((char **)t26);
    t26 = (t0 + 15232U);
    t38 = ieee_p_1242562249_sub_17802405650254020620_1035706684(IEEE_P_1242562249, t27, t26);
    t39 = (t38 * 10);
    t40 = (t35 + t39);
    t31 = (t0 + 3432U);
    t32 = *((char **)t31);
    t31 = (t0 + 15216U);
    t43 = ieee_p_1242562249_sub_17802405650254020620_1035706684(IEEE_P_1242562249, t32, t31);
    t44 = (t40 + t43);
    t36 = ieee_p_1242562249_sub_10420449594411817395_1035706684(IEEE_P_1242562249, t14, t44, 32);
    t37 = (t0 + 9936);
    t41 = (t37 + 56U);
    t42 = *((char **)t41);
    t45 = (t42 + 56U);
    t46 = *((char **)t45);
    memcpy(t46, t36, 32U);
    xsi_driver_first_trans_fast(t37);
    xsi_set_current_line(141, ng0);
    t1 = (t0 + 4552U);
    t2 = *((char **)t1);
    t1 = (t0 + 15328U);
    t11 = ieee_p_1242562249_sub_3307759752501503797_1035706684(IEEE_P_1242562249, t2, t1, 1);
    if (t11 != 0)
        goto LAB11;

LAB13:    xsi_set_current_line(144, ng0);
    t1 = (t0 + 5192U);
    t2 = *((char **)t1);
    t1 = (t0 + 10000);
    t6 = (t1 + 56U);
    t7 = *((char **)t6);
    t8 = (t7 + 56U);
    t9 = *((char **)t8);
    memcpy(t9, t2, 32U);
    xsi_driver_first_trans_fast(t1);

LAB12:    goto LAB3;

LAB5:    t11 = (unsigned char)1;
    goto LAB7;

LAB8:    xsi_set_current_line(127, ng0);
    t6 = (t0 + 5032U);
    t7 = *((char **)t6);
    t6 = (t0 + 15376U);
    t8 = ieee_p_2592010699_sub_207919886985903570_503743352(IEEE_P_2592010699, t51, t7, t6);
    t9 = ieee_p_1242562249_sub_1006216973935652998_1035706684(IEEE_P_1242562249, t14, t8, t51, 1);
    t10 = (t0 + 9872);
    t17 = (t10 + 56U);
    t21 = *((char **)t17);
    t22 = (t21 + 56U);
    t26 = *((char **)t22);
    memcpy(t26, t9, 32U);
    xsi_driver_first_trans_fast(t10);
    goto LAB9;

LAB11:    xsi_set_current_line(142, ng0);
    t6 = (t0 + 5192U);
    t7 = *((char **)t6);
    t6 = (t0 + 15392U);
    t8 = ieee_p_2592010699_sub_207919886985903570_503743352(IEEE_P_2592010699, t51, t7, t6);
    t9 = ieee_p_1242562249_sub_1006216973935652998_1035706684(IEEE_P_1242562249, t14, t8, t51, 1);
    t10 = (t0 + 10000);
    t17 = (t10 + 56U);
    t21 = *((char **)t17);
    t22 = (t21 + 56U);
    t26 = *((char **)t22);
    memcpy(t26, t9, 32U);
    xsi_driver_first_trans_fast(t10);
    goto LAB12;

LAB15:    xsi_set_current_line(176, ng0);
    t22 = (t0 + 4712U);
    t26 = *((char **)t22);
    t27 = ((IEEE_P_2592010699) + 4000);
    t31 = (t0 + 15344U);
    t22 = xsi_base_array_concat(t22, t51, t27, (char)99, (unsigned char)2, (char)97, t26, t31, (char)101);
    t32 = (t0 + 4872U);
    t36 = *((char **)t32);
    t37 = ((IEEE_P_2592010699) + 4000);
    t41 = (t0 + 15360U);
    t32 = xsi_base_array_concat(t32, t55, t37, (char)99, (unsigned char)2, (char)97, t36, t41, (char)101);
    t42 = ieee_p_1242562249_sub_1701011461141717515_1035706684(IEEE_P_1242562249, t14, t22, t51, t32, t55);
    t45 = (t0 + 10064);
    t46 = (t45 + 56U);
    t47 = *((char **)t46);
    t48 = (t47 + 56U);
    t49 = *((char **)t48);
    memcpy(t49, t42, 33U);
    xsi_driver_first_trans_fast(t45);
    xsi_set_current_line(177, ng0);
    t1 = (t0 + 5352U);
    t2 = *((char **)t1);
    t15 = (32 - 1);
    t3 = (32 - t15);
    t4 = (t3 * 1U);
    t5 = (0 + t4);
    t1 = (t2 + t5);
    t6 = (t0 + 10128);
    t7 = (t6 + 56U);
    t8 = *((char **)t7);
    t9 = (t8 + 56U);
    t10 = *((char **)t9);
    memcpy(t10, t1, 32U);
    xsi_driver_first_trans_fast(t6);
    xsi_set_current_line(178, ng0);
    t1 = (t0 + 5352U);
    t2 = *((char **)t1);
    t15 = (32 - 1);
    t3 = (32 - t15);
    t4 = (t3 * 1U);
    t5 = (0 + t4);
    t1 = (t2 + t5);
    t6 = (t14 + 0U);
    t7 = (t6 + 0U);
    *((int *)t7) = 31;
    t7 = (t6 + 4U);
    *((int *)t7) = 0;
    t7 = (t6 + 8U);
    *((int *)t7) = -1;
    t16 = (0 - 31);
    t56 = (t16 * -1);
    t56 = (t56 + 1);
    t7 = (t6 + 12U);
    *((unsigned int *)t7) = t56;
    t11 = ieee_p_1242562249_sub_3307759752501503797_1035706684(IEEE_P_1242562249, t1, t14, 0);
    if (t11 != 0)
        goto LAB25;

LAB27:    xsi_set_current_line(181, ng0);
    t1 = (t0 + 10192);
    t2 = (t1 + 56U);
    t6 = *((char **)t2);
    t7 = (t6 + 56U);
    t8 = *((char **)t7);
    *((unsigned char *)t8) = (unsigned char)2;
    xsi_driver_first_trans_fast_port(t1);

LAB26:    xsi_set_current_line(183, ng0);
    t1 = (t0 + 5352U);
    t2 = *((char **)t1);
    t15 = (32 - 32);
    t3 = (t15 * -1);
    t4 = (1U * t3);
    t5 = (0 + t4);
    t1 = (t2 + t5);
    t11 = *((unsigned char *)t1);
    t6 = (t0 + 10256);
    t7 = (t6 + 56U);
    t8 = *((char **)t7);
    t9 = (t8 + 56U);
    t10 = *((char **)t9);
    *((unsigned char *)t10) = t11;
    xsi_driver_first_trans_fast_port(t6);
    xsi_set_current_line(184, ng0);
    t1 = (t0 + 5352U);
    t2 = *((char **)t1);
    t15 = (32 - 32);
    t3 = (t15 * -1);
    t4 = (1U * t3);
    t5 = (0 + t4);
    t1 = (t2 + t5);
    t11 = *((unsigned char *)t1);
    t6 = (t0 + 10320);
    t7 = (t6 + 56U);
    t8 = *((char **)t7);
    t9 = (t8 + 56U);
    t10 = *((char **)t9);
    *((unsigned char *)t10) = t11;
    xsi_driver_first_trans_fast_port(t6);
    goto LAB14;

LAB16:    xsi_set_current_line(189, ng0);
    t1 = (t0 + 4712U);
    t2 = *((char **)t1);
    t6 = ((IEEE_P_2592010699) + 4000);
    t7 = (t0 + 15344U);
    t1 = xsi_base_array_concat(t1, t51, t6, (char)99, (unsigned char)2, (char)97, t2, t7, (char)101);
    t8 = (t0 + 4872U);
    t9 = *((char **)t8);
    t10 = ((IEEE_P_2592010699) + 4000);
    t17 = (t0 + 15360U);
    t8 = xsi_base_array_concat(t8, t55, t10, (char)99, (unsigned char)2, (char)97, t9, t17, (char)101);
    t21 = ieee_p_1242562249_sub_3525738511873186323_1035706684(IEEE_P_1242562249, t14, t1, t51, t8, t55);
    t22 = (t0 + 10064);
    t26 = (t22 + 56U);
    t27 = *((char **)t26);
    t31 = (t27 + 56U);
    t32 = *((char **)t31);
    memcpy(t32, t21, 33U);
    xsi_driver_first_trans_fast(t22);
    xsi_set_current_line(190, ng0);
    t1 = (t0 + 5352U);
    t2 = *((char **)t1);
    t15 = (32 - 1);
    t3 = (32 - t15);
    t4 = (t3 * 1U);
    t5 = (0 + t4);
    t1 = (t2 + t5);
    t6 = (t0 + 10128);
    t7 = (t6 + 56U);
    t8 = *((char **)t7);
    t9 = (t8 + 56U);
    t10 = *((char **)t9);
    memcpy(t10, t1, 32U);
    xsi_driver_first_trans_fast(t6);
    xsi_set_current_line(192, ng0);
    t1 = (t0 + 5352U);
    t2 = *((char **)t1);
    t15 = (32 - 1);
    t3 = (32 - t15);
    t4 = (t3 * 1U);
    t5 = (0 + t4);
    t1 = (t2 + t5);
    t6 = (t14 + 0U);
    t7 = (t6 + 0U);
    *((int *)t7) = 31;
    t7 = (t6 + 4U);
    *((int *)t7) = 0;
    t7 = (t6 + 8U);
    *((int *)t7) = -1;
    t16 = (0 - 31);
    t56 = (t16 * -1);
    t56 = (t56 + 1);
    t7 = (t6 + 12U);
    *((unsigned int *)t7) = t56;
    t11 = ieee_p_1242562249_sub_3538360624587631881_1035706684(IEEE_P_1242562249, t1, t14, 0);
    if (t11 != 0)
        goto LAB28;

LAB30:    xsi_set_current_line(195, ng0);
    t1 = (t0 + 10192);
    t2 = (t1 + 56U);
    t6 = *((char **)t2);
    t7 = (t6 + 56U);
    t8 = *((char **)t7);
    *((unsigned char *)t8) = (unsigned char)2;
    xsi_driver_first_trans_fast_port(t1);

LAB29:    xsi_set_current_line(198, ng0);
    t1 = (t0 + 4712U);
    t2 = *((char **)t1);
    t1 = (t0 + 15344U);
    t57 = ieee_p_1242562249_sub_3538367364753032487_1035706684(IEEE_P_1242562249, t2, t1, 0);
    if (t57 == 1)
        goto LAB40;

LAB41:    t13 = (unsigned char)0;

LAB42:    if (t13 == 1)
        goto LAB37;

LAB38:    t12 = (unsigned char)0;

LAB39:    if (t12 == 1)
        goto LAB34;

LAB35:    t17 = (t0 + 4712U);
    t21 = *((char **)t17);
    t17 = (t0 + 15344U);
    t62 = ieee_p_1242562249_sub_3538360624587595944_1035706684(IEEE_P_1242562249, t21, t17, 0);
    if (t62 == 1)
        goto LAB46;

LAB47:    t61 = (unsigned char)0;

LAB48:    if (t61 == 1)
        goto LAB43;

LAB44:    t60 = (unsigned char)0;

LAB45:    t11 = t60;

LAB36:    if (t11 != 0)
        goto LAB31;

LAB33:    xsi_set_current_line(203, ng0);
    t1 = (t0 + 10256);
    t2 = (t1 + 56U);
    t6 = *((char **)t2);
    t7 = (t6 + 56U);
    t8 = *((char **)t7);
    *((unsigned char *)t8) = (unsigned char)2;
    xsi_driver_first_trans_fast_port(t1);

LAB32:    xsi_set_current_line(206, ng0);
    t1 = (t0 + 5352U);
    t2 = *((char **)t1);
    t15 = (32 - 32);
    t3 = (t15 * -1);
    t4 = (1U * t3);
    t5 = (0 + t4);
    t1 = (t2 + t5);
    t11 = *((unsigned char *)t1);
    t6 = (t0 + 10320);
    t7 = (t6 + 56U);
    t8 = *((char **)t7);
    t9 = (t8 + 56U);
    t10 = *((char **)t9);
    *((unsigned char *)t10) = t11;
    xsi_driver_first_trans_fast_port(t6);
    goto LAB14;

LAB17:    xsi_set_current_line(210, ng0);
    t1 = (t0 + 4712U);
    t2 = *((char **)t1);
    t6 = ((IEEE_P_2592010699) + 4000);
    t7 = (t0 + 15344U);
    t1 = xsi_base_array_concat(t1, t51, t6, (char)99, (unsigned char)2, (char)97, t2, t7, (char)101);
    t8 = (t0 + 4872U);
    t9 = *((char **)t8);
    t10 = ((IEEE_P_2592010699) + 4000);
    t17 = (t0 + 15360U);
    t8 = xsi_base_array_concat(t8, t55, t10, (char)99, (unsigned char)2, (char)97, t9, t17, (char)101);
    t21 = ieee_p_1242562249_sub_1701011461141789389_1035706684(IEEE_P_1242562249, t14, t1, t51, t8, t55);
    t22 = (t0 + 10064);
    t26 = (t22 + 56U);
    t27 = *((char **)t26);
    t31 = (t27 + 56U);
    t32 = *((char **)t31);
    memcpy(t32, t21, 33U);
    xsi_driver_first_trans_fast(t22);
    xsi_set_current_line(211, ng0);
    t1 = (t0 + 5352U);
    t2 = *((char **)t1);
    t15 = (32 - 1);
    t3 = (32 - t15);
    t4 = (t3 * 1U);
    t5 = (0 + t4);
    t1 = (t2 + t5);
    t6 = (t0 + 10128);
    t7 = (t6 + 56U);
    t8 = *((char **)t7);
    t9 = (t8 + 56U);
    t10 = *((char **)t9);
    memcpy(t10, t1, 32U);
    xsi_driver_first_trans_fast(t6);
    xsi_set_current_line(212, ng0);
    t1 = (t0 + 5352U);
    t2 = *((char **)t1);
    t15 = (32 - 1);
    t3 = (32 - t15);
    t4 = (t3 * 1U);
    t5 = (0 + t4);
    t1 = (t2 + t5);
    t6 = (t14 + 0U);
    t7 = (t6 + 0U);
    *((int *)t7) = 31;
    t7 = (t6 + 4U);
    *((int *)t7) = 0;
    t7 = (t6 + 8U);
    *((int *)t7) = -1;
    t16 = (0 - 31);
    t56 = (t16 * -1);
    t56 = (t56 + 1);
    t7 = (t6 + 12U);
    *((unsigned int *)t7) = t56;
    t11 = ieee_p_1242562249_sub_3307759752501503797_1035706684(IEEE_P_1242562249, t1, t14, 0);
    if (t11 != 0)
        goto LAB49;

LAB51:    xsi_set_current_line(215, ng0);
    t1 = (t0 + 10192);
    t2 = (t1 + 56U);
    t6 = *((char **)t2);
    t7 = (t6 + 56U);
    t8 = *((char **)t7);
    *((unsigned char *)t8) = (unsigned char)2;
    xsi_driver_first_trans_fast_port(t1);

LAB50:    xsi_set_current_line(217, ng0);
    t1 = (t0 + 5352U);
    t2 = *((char **)t1);
    t15 = (32 - 32);
    t3 = (t15 * -1);
    t4 = (1U * t3);
    t5 = (0 + t4);
    t1 = (t2 + t5);
    t11 = *((unsigned char *)t1);
    t12 = (t11 == (unsigned char)3);
    if (t12 != 0)
        goto LAB52;

LAB54:    xsi_set_current_line(220, ng0);
    t1 = (t0 + 10256);
    t2 = (t1 + 56U);
    t6 = *((char **)t2);
    t7 = (t6 + 56U);
    t8 = *((char **)t7);
    *((unsigned char *)t8) = (unsigned char)2;
    xsi_driver_first_trans_fast_port(t1);

LAB53:    xsi_set_current_line(222, ng0);
    t1 = (t0 + 5352U);
    t2 = *((char **)t1);
    t15 = (32 - 32);
    t3 = (t15 * -1);
    t4 = (1U * t3);
    t5 = (0 + t4);
    t1 = (t2 + t5);
    t11 = *((unsigned char *)t1);
    t6 = (t0 + 10320);
    t7 = (t6 + 56U);
    t8 = *((char **)t7);
    t9 = (t8 + 56U);
    t10 = *((char **)t9);
    *((unsigned char *)t10) = t11;
    xsi_driver_first_trans_fast_port(t6);
    goto LAB14;

LAB18:    xsi_set_current_line(226, ng0);
    t1 = (t0 + 4712U);
    t2 = *((char **)t1);
    t6 = ((IEEE_P_2592010699) + 4000);
    t7 = (t0 + 15344U);
    t1 = xsi_base_array_concat(t1, t51, t6, (char)99, (unsigned char)2, (char)97, t2, t7, (char)101);
    t8 = (t0 + 4872U);
    t9 = *((char **)t8);
    t10 = ((IEEE_P_2592010699) + 4000);
    t17 = (t0 + 15360U);
    t8 = xsi_base_array_concat(t8, t55, t10, (char)99, (unsigned char)2, (char)97, t9, t17, (char)101);
    t21 = ieee_p_1242562249_sub_3525738511873258197_1035706684(IEEE_P_1242562249, t14, t1, t51, t8, t55);
    t22 = (t0 + 10064);
    t26 = (t22 + 56U);
    t27 = *((char **)t26);
    t31 = (t27 + 56U);
    t32 = *((char **)t31);
    memcpy(t32, t21, 33U);
    xsi_driver_first_trans_fast(t22);
    xsi_set_current_line(227, ng0);
    t1 = (t0 + 5352U);
    t2 = *((char **)t1);
    t15 = (32 - 1);
    t3 = (32 - t15);
    t4 = (t3 * 1U);
    t5 = (0 + t4);
    t1 = (t2 + t5);
    t6 = (t0 + 10128);
    t7 = (t6 + 56U);
    t8 = *((char **)t7);
    t9 = (t8 + 56U);
    t10 = *((char **)t9);
    memcpy(t10, t1, 32U);
    xsi_driver_first_trans_fast(t6);
    xsi_set_current_line(229, ng0);
    t1 = (t0 + 5352U);
    t2 = *((char **)t1);
    t15 = (32 - 1);
    t3 = (32 - t15);
    t4 = (t3 * 1U);
    t5 = (0 + t4);
    t1 = (t2 + t5);
    t6 = (t14 + 0U);
    t7 = (t6 + 0U);
    *((int *)t7) = 31;
    t7 = (t6 + 4U);
    *((int *)t7) = 0;
    t7 = (t6 + 8U);
    *((int *)t7) = -1;
    t16 = (0 - 31);
    t56 = (t16 * -1);
    t56 = (t56 + 1);
    t7 = (t6 + 12U);
    *((unsigned int *)t7) = t56;
    t11 = ieee_p_1242562249_sub_3538360624587631881_1035706684(IEEE_P_1242562249, t1, t14, 0);
    if (t11 != 0)
        goto LAB55;

LAB57:    xsi_set_current_line(232, ng0);
    t1 = (t0 + 10192);
    t2 = (t1 + 56U);
    t6 = *((char **)t2);
    t7 = (t6 + 56U);
    t8 = *((char **)t7);
    *((unsigned char *)t8) = (unsigned char)2;
    xsi_driver_first_trans_fast_port(t1);

LAB56:    xsi_set_current_line(235, ng0);
    t1 = (t0 + 4712U);
    t2 = *((char **)t1);
    t1 = (t0 + 15344U);
    t57 = ieee_p_1242562249_sub_3538367364753032487_1035706684(IEEE_P_1242562249, t2, t1, 0);
    if (t57 == 1)
        goto LAB67;

LAB68:    t13 = (unsigned char)0;

LAB69:    if (t13 == 1)
        goto LAB64;

LAB65:    t12 = (unsigned char)0;

LAB66:    if (t12 == 1)
        goto LAB61;

LAB62:    t17 = (t0 + 4712U);
    t21 = *((char **)t17);
    t17 = (t0 + 15344U);
    t62 = ieee_p_1242562249_sub_3538360624587595944_1035706684(IEEE_P_1242562249, t21, t17, 0);
    if (t62 == 1)
        goto LAB73;

LAB74:    t61 = (unsigned char)0;

LAB75:    if (t61 == 1)
        goto LAB70;

LAB71:    t60 = (unsigned char)0;

LAB72:    t11 = t60;

LAB63:    if (t11 != 0)
        goto LAB58;

LAB60:    xsi_set_current_line(239, ng0);
    t1 = (t0 + 10256);
    t2 = (t1 + 56U);
    t6 = *((char **)t2);
    t7 = (t6 + 56U);
    t8 = *((char **)t7);
    *((unsigned char *)t8) = (unsigned char)2;
    xsi_driver_first_trans_fast_port(t1);

LAB59:    xsi_set_current_line(242, ng0);
    t1 = (t0 + 5352U);
    t2 = *((char **)t1);
    t15 = (32 - 32);
    t3 = (t15 * -1);
    t4 = (1U * t3);
    t5 = (0 + t4);
    t1 = (t2 + t5);
    t11 = *((unsigned char *)t1);
    t6 = (t0 + 10320);
    t7 = (t6 + 56U);
    t8 = *((char **)t7);
    t9 = (t8 + 56U);
    t10 = *((char **)t9);
    *((unsigned char *)t10) = t11;
    xsi_driver_first_trans_fast_port(t6);
    goto LAB14;

LAB24:;
LAB25:    xsi_set_current_line(179, ng0);
    t7 = (t0 + 10192);
    t8 = (t7 + 56U);
    t9 = *((char **)t8);
    t10 = (t9 + 56U);
    t17 = *((char **)t10);
    *((unsigned char *)t17) = (unsigned char)3;
    xsi_driver_first_trans_fast_port(t7);
    goto LAB26;

LAB28:    xsi_set_current_line(193, ng0);
    t7 = (t0 + 10192);
    t8 = (t7 + 56U);
    t9 = *((char **)t8);
    t10 = (t9 + 56U);
    t17 = *((char **)t10);
    *((unsigned char *)t17) = (unsigned char)3;
    xsi_driver_first_trans_fast_port(t7);
    goto LAB29;

LAB31:    xsi_set_current_line(200, ng0);
    t36 = (t0 + 10256);
    t37 = (t36 + 56U);
    t41 = *((char **)t37);
    t42 = (t41 + 56U);
    t45 = *((char **)t42);
    *((unsigned char *)t45) = (unsigned char)3;
    xsi_driver_first_trans_fast_port(t36);
    goto LAB32;

LAB34:    t11 = (unsigned char)1;
    goto LAB36;

LAB37:    t8 = (t0 + 5352U);
    t9 = *((char **)t8);
    t15 = (32 - 1);
    t3 = (32 - t15);
    t4 = (t3 * 1U);
    t5 = (0 + t4);
    t8 = (t9 + t5);
    t10 = (t14 + 0U);
    t17 = (t10 + 0U);
    *((int *)t17) = 31;
    t17 = (t10 + 4U);
    *((int *)t17) = 0;
    t17 = (t10 + 8U);
    *((int *)t17) = -1;
    t16 = (0 - 31);
    t56 = (t16 * -1);
    t56 = (t56 + 1);
    t17 = (t10 + 12U);
    *((unsigned int *)t17) = t56;
    t59 = ieee_p_1242562249_sub_3538360624587595944_1035706684(IEEE_P_1242562249, t8, t14, 0);
    t12 = t59;
    goto LAB39;

LAB40:    t6 = (t0 + 4872U);
    t7 = *((char **)t6);
    t6 = (t0 + 15360U);
    t58 = ieee_p_1242562249_sub_3538367364753032487_1035706684(IEEE_P_1242562249, t7, t6, 0);
    t13 = t58;
    goto LAB42;

LAB43:    t27 = (t0 + 5352U);
    t31 = *((char **)t27);
    t18 = (32 - 1);
    t56 = (32 - t18);
    t64 = (t56 * 1U);
    t65 = (0 + t64);
    t27 = (t31 + t65);
    t32 = (t51 + 0U);
    t36 = (t32 + 0U);
    *((int *)t36) = 31;
    t36 = (t32 + 4U);
    *((int *)t36) = 0;
    t36 = (t32 + 8U);
    *((int *)t36) = -1;
    t19 = (0 - 31);
    t66 = (t19 * -1);
    t66 = (t66 + 1);
    t36 = (t32 + 12U);
    *((unsigned int *)t36) = t66;
    t67 = ieee_p_1242562249_sub_3538367364753032487_1035706684(IEEE_P_1242562249, t27, t51, 0);
    t60 = t67;
    goto LAB45;

LAB46:    t22 = (t0 + 4872U);
    t26 = *((char **)t22);
    t22 = (t0 + 15360U);
    t63 = ieee_p_1242562249_sub_3538360624587595944_1035706684(IEEE_P_1242562249, t26, t22, 0);
    t61 = t63;
    goto LAB48;

LAB49:    xsi_set_current_line(213, ng0);
    t7 = (t0 + 10192);
    t8 = (t7 + 56U);
    t9 = *((char **)t8);
    t10 = (t9 + 56U);
    t17 = *((char **)t10);
    *((unsigned char *)t17) = (unsigned char)3;
    xsi_driver_first_trans_fast_port(t7);
    goto LAB50;

LAB52:    xsi_set_current_line(218, ng0);
    t6 = (t0 + 10256);
    t7 = (t6 + 56U);
    t8 = *((char **)t7);
    t9 = (t8 + 56U);
    t10 = *((char **)t9);
    *((unsigned char *)t10) = (unsigned char)3;
    xsi_driver_first_trans_fast_port(t6);
    goto LAB53;

LAB55:    xsi_set_current_line(230, ng0);
    t7 = (t0 + 10192);
    t8 = (t7 + 56U);
    t9 = *((char **)t8);
    t10 = (t9 + 56U);
    t17 = *((char **)t10);
    *((unsigned char *)t17) = (unsigned char)3;
    xsi_driver_first_trans_fast_port(t7);
    goto LAB56;

LAB58:    xsi_set_current_line(237, ng0);
    t36 = (t0 + 10256);
    t37 = (t36 + 56U);
    t41 = *((char **)t37);
    t42 = (t41 + 56U);
    t45 = *((char **)t42);
    *((unsigned char *)t45) = (unsigned char)3;
    xsi_driver_first_trans_fast_port(t36);
    goto LAB59;

LAB61:    t11 = (unsigned char)1;
    goto LAB63;

LAB64:    t8 = (t0 + 5352U);
    t9 = *((char **)t8);
    t15 = (32 - 1);
    t3 = (32 - t15);
    t4 = (t3 * 1U);
    t5 = (0 + t4);
    t8 = (t9 + t5);
    t10 = (t14 + 0U);
    t17 = (t10 + 0U);
    *((int *)t17) = 31;
    t17 = (t10 + 4U);
    *((int *)t17) = 0;
    t17 = (t10 + 8U);
    *((int *)t17) = -1;
    t16 = (0 - 31);
    t56 = (t16 * -1);
    t56 = (t56 + 1);
    t17 = (t10 + 12U);
    *((unsigned int *)t17) = t56;
    t59 = ieee_p_1242562249_sub_3538360624587595944_1035706684(IEEE_P_1242562249, t8, t14, 0);
    t12 = t59;
    goto LAB66;

LAB67:    t6 = (t0 + 4872U);
    t7 = *((char **)t6);
    t6 = (t0 + 15360U);
    t58 = ieee_p_1242562249_sub_3538360624587595944_1035706684(IEEE_P_1242562249, t7, t6, 0);
    t13 = t58;
    goto LAB69;

LAB70:    t27 = (t0 + 5352U);
    t31 = *((char **)t27);
    t18 = (32 - 1);
    t56 = (32 - t18);
    t64 = (t56 * 1U);
    t65 = (0 + t64);
    t27 = (t31 + t65);
    t32 = (t51 + 0U);
    t36 = (t32 + 0U);
    *((int *)t36) = 31;
    t36 = (t32 + 4U);
    *((int *)t36) = 0;
    t36 = (t32 + 8U);
    *((int *)t36) = -1;
    t19 = (0 - 31);
    t66 = (t19 * -1);
    t66 = (t66 + 1);
    t36 = (t32 + 12U);
    *((unsigned int *)t36) = t66;
    t67 = ieee_p_1242562249_sub_3538367364753032487_1035706684(IEEE_P_1242562249, t27, t51, 0);
    t60 = t67;
    goto LAB72;

LAB73:    t22 = (t0 + 4872U);
    t26 = *((char **)t22);
    t22 = (t0 + 15360U);
    t63 = ieee_p_1242562249_sub_3538367364753032487_1035706684(IEEE_P_1242562249, t26, t22, 0);
    t61 = t63;
    goto LAB75;

LAB76:    xsi_set_current_line(251, ng0);
    t6 = (t0 + 16021);
    t8 = (t0 + 10384);
    t9 = (t8 + 56U);
    t10 = *((char **)t9);
    t17 = (t10 + 56U);
    t21 = *((char **)t17);
    memcpy(t21, t6, 4U);
    xsi_driver_first_trans_fast(t8);
    xsi_set_current_line(252, ng0);
    t1 = (t0 + 5512U);
    t2 = *((char **)t1);
    t1 = (t0 + 15424U);
    t6 = ieee_p_2592010699_sub_207919886985903570_503743352(IEEE_P_2592010699, t51, t2, t1);
    t7 = ieee_p_1242562249_sub_1006216973935652998_1035706684(IEEE_P_1242562249, t14, t6, t51, 1);
    t8 = (t0 + 10448);
    t9 = (t8 + 56U);
    t10 = *((char **)t9);
    t17 = (t10 + 56U);
    t21 = *((char **)t17);
    memcpy(t21, t7, 32U);
    xsi_driver_first_trans_fast(t8);
    goto LAB77;

LAB79:    xsi_size_not_matching(36U, t83, 0);
    goto LAB80;

}
char *fit_timer_v1_01_b_a_0914523833_0090727531_sub_773722353_1724905902(char *t1, int t2)
{
    char t3[488];
    char t4[8];
    char t8[8];
    char t14[72];
    char t20[8];
    char t26[8];
    char *t0;
    char *t5;
    char *t6;
    char *t7;
    char *t9;
    char *t10;
    char *t11;
    char *t12;
    char *t13;
    char *t15;
    char *t16;
    char *t17;
    char *t18;
    char *t19;
    char *t21;
    char *t22;
    char *t23;
    char *t24;
    char *t25;
    char *t27;
    char *t28;
    char *t29;
    char *t30;
    char *t31;
    unsigned int t32;
    int t33;
    unsigned char t34;
    int t35;
    int t36;
    unsigned int t37;
    unsigned int t38;
    unsigned int t39;
    int t40;
    int t41;
    int t42;
    unsigned char t43;
    unsigned char t44;
    int t45;

LAB0:    t5 = (t3 + 4U);
    t6 = ((STD_STANDARD) + 832);
    t7 = (t5 + 88U);
    *((char **)t7) = t6;
    t9 = (t5 + 56U);
    *((char **)t9) = t8;
    *((int *)t8) = t2;
    t10 = (t5 + 80U);
    *((unsigned int *)t10) = 4U;
    t11 = (t3 + 124U);
    t12 = (t1 + 8560);
    t13 = (t11 + 88U);
    *((char **)t13) = t12;
    t15 = (t11 + 56U);
    *((char **)t15) = t14;
    xsi_type_set_default_value(t12, t14, 0);
    t16 = (t11 + 80U);
    *((unsigned int *)t16) = 72U;
    t17 = (t3 + 244U);
    t18 = ((STD_STANDARD) + 832);
    t19 = (t17 + 88U);
    *((char **)t19) = t18;
    t21 = (t17 + 56U);
    *((char **)t21) = t20;
    *((int *)t20) = 1;
    t22 = (t17 + 80U);
    *((unsigned int *)t22) = 4U;
    t23 = (t3 + 364U);
    t24 = ((STD_STANDARD) + 0);
    t25 = (t23 + 88U);
    *((char **)t25) = t24;
    t27 = (t23 + 56U);
    *((char **)t27) = t26;
    xsi_type_set_default_value(t24, t26, 0);
    t28 = (t23 + 80U);
    *((unsigned int *)t28) = 1U;
    t29 = (t4 + 4U);
    *((int *)t29) = t2;
    t30 = (t11 + 56U);
    t31 = *((char **)t30);
    t32 = (0 + 4U);
    t30 = (t31 + t32);
    *((int *)t30) = 0;
    t6 = (t11 + 56U);
    t7 = *((char **)t6);
    t32 = (0 + 68U);
    t6 = (t7 + t32);
    *((int *)t6) = 0;
    t6 = xsi_get_transient_memory(60U);
    memset(t6, 0, 60U);
    t7 = t6;
    *((int *)t7) = 2;
    t7 = (t7 + 4U);
    *((int *)t7) = 2;
    t7 = (t7 + 4U);
    *((int *)t7) = 2;
    t7 = (t7 + 4U);
    *((int *)t7) = 2;
    t7 = (t7 + 4U);
    *((int *)t7) = 2;
    t7 = (t7 + 4U);
    *((int *)t7) = 2;
    t7 = (t7 + 4U);
    *((int *)t7) = 2;
    t7 = (t7 + 4U);
    *((int *)t7) = 2;
    t7 = (t7 + 4U);
    *((int *)t7) = 2;
    t7 = (t7 + 4U);
    *((int *)t7) = 2;
    t7 = (t7 + 4U);
    *((int *)t7) = 2;
    t7 = (t7 + 4U);
    *((int *)t7) = 2;
    t7 = (t7 + 4U);
    *((int *)t7) = 2;
    t7 = (t7 + 4U);
    *((int *)t7) = 2;
    t7 = (t7 + 4U);
    *((int *)t7) = 2;
    t9 = (t11 + 56U);
    t10 = *((char **)t9);
    t32 = (0 + 8U);
    t9 = (t10 + t32);
    memcpy(t9, t6, 60U);
    t6 = (t5 + 56U);
    t7 = *((char **)t6);
    t33 = *((int *)t7);
    t34 = (t33 < 16);
    if (t34 != 0)
        goto LAB2;

LAB4:
LAB3:
LAB6:    t6 = (t5 + 56U);
    t7 = *((char **)t6);
    t33 = *((int *)t7);
    t34 = (t33 != 1);
    if (t34 != 0)
        goto LAB7;

LAB9:    t6 = (t23 + 56U);
    t7 = *((char **)t6);
    t34 = *((unsigned char *)t7);
    if (t34 != 0)
        goto LAB35;

LAB37:
LAB36:    t6 = (t11 + 56U);
    t7 = *((char **)t6);
    t0 = xsi_get_transient_memory(72U);
    memcpy(t0, t7, 72U);

LAB1:    return t0;
LAB2:    t6 = (t5 + 56U);
    t9 = *((char **)t6);
    t35 = *((int *)t9);
    t6 = (t11 + 56U);
    t10 = *((char **)t6);
    t36 = (1 - 1);
    t32 = (t36 * 1);
    t37 = (4U * t32);
    t38 = (0 + 8U);
    t39 = (t38 + t37);
    t6 = (t10 + t39);
    *((int *)t6) = t35;
    t6 = (t11 + 56U);
    t7 = *((char **)t6);
    t32 = (0 + 4U);
    t6 = (t7 + t32);
    *((int *)t6) = 1;
    t6 = (t11 + 56U);
    t7 = *((char **)t6);
    t32 = (0 + 0U);
    t6 = (t7 + t32);
    *((unsigned char *)t6) = (unsigned char)1;
    t6 = (t11 + 56U);
    t7 = *((char **)t6);
    t32 = (0 + 68U);
    t6 = (t7 + t32);
    *((int *)t6) = 1;
    t6 = (t11 + 56U);
    t7 = *((char **)t6);
    t0 = xsi_get_transient_memory(72U);
    memcpy(t0, t7, 72U);
    goto LAB1;

LAB5:    goto LAB3;

LAB7:    t6 = (t23 + 56U);
    t9 = *((char **)t6);
    t6 = (t9 + 0);
    *((unsigned char *)t6) = (unsigned char)0;
    t33 = 16;
    t35 = 2;

LAB10:    if (t33 >= t35)
        goto LAB11;

LAB13:    t6 = (t23 + 56U);
    t7 = *((char **)t6);
    t34 = *((unsigned char *)t7);
    t43 = (!(t34));
    if (t43 != 0)
        goto LAB19;

LAB21:
LAB20:    t6 = (t23 + 56U);
    t7 = *((char **)t6);
    t34 = *((unsigned char *)t7);
    t43 = (!(t34));
    if (t43 != 0)
        goto LAB31;

LAB33:
LAB32:    goto LAB6;

LAB8:;
LAB11:    t6 = (t5 + 56U);
    t7 = *((char **)t6);
    t36 = *((int *)t7);
    t40 = xsi_vhdl_mod(t36, t33);
    t34 = (t40 == 0);
    if (t34 != 0)
        goto LAB14;

LAB16:
LAB15:
LAB12:    if (t33 == t35)
        goto LAB13;

LAB18:    t36 = (t33 + -1);
    t33 = t36;
    goto LAB10;

LAB14:    t6 = (t11 + 56U);
    t9 = *((char **)t6);
    t6 = (t17 + 56U);
    t10 = *((char **)t6);
    t41 = *((int *)t10);
    t42 = (t41 - 1);
    t32 = (t42 * 1);
    xsi_vhdl_check_range_of_index(1, 15, 1, t41);
    t37 = (4U * t32);
    t38 = (0 + 8U);
    t39 = (t38 + t37);
    t6 = (t9 + t39);
    *((int *)t6) = t33;
    t6 = (t11 + 56U);
    t7 = *((char **)t6);
    t32 = (0 + 68U);
    t6 = (t7 + t32);
    t36 = *((int *)t6);
    t40 = (t36 + 1);
    t9 = (t11 + 56U);
    t10 = *((char **)t9);
    t37 = (0 + 68U);
    t9 = (t10 + t37);
    *((int *)t9) = t40;
    t6 = (t5 + 56U);
    t7 = *((char **)t6);
    t36 = *((int *)t7);
    t40 = (t36 / t33);
    t6 = (t5 + 56U);
    t9 = *((char **)t6);
    t6 = (t9 + 0);
    *((int *)t6) = t40;
    t6 = (t17 + 56U);
    t7 = *((char **)t6);
    t36 = *((int *)t7);
    t40 = (t36 + 1);
    t6 = (t17 + 56U);
    t9 = *((char **)t6);
    t6 = (t9 + 0);
    *((int *)t6) = t40;
    t6 = (t23 + 56U);
    t7 = *((char **)t6);
    t6 = (t7 + 0);
    *((unsigned char *)t6) = (unsigned char)1;
    goto LAB13;

LAB17:    goto LAB15;

LAB19:    t6 = (t1 + 4824U);
    t9 = *((char **)t6);
    t33 = *((int *)t9);
    t35 = 17;
    t36 = t33;

LAB22:    if (t35 <= t36)
        goto LAB23;

LAB25:    goto LAB20;

LAB23:    t6 = (t5 + 56U);
    t10 = *((char **)t6);
    t40 = *((int *)t10);
    t41 = xsi_vhdl_mod(t40, t35);
    t44 = (t41 == 0);
    if (t44 != 0)
        goto LAB26;

LAB28:
LAB27:
LAB24:    if (t35 == t36)
        goto LAB25;

LAB30:    t33 = (t35 + 1);
    t35 = t33;
    goto LAB22;

LAB26:    t6 = (t11 + 56U);
    t12 = *((char **)t6);
    t6 = (t17 + 56U);
    t13 = *((char **)t6);
    t42 = *((int *)t13);
    t45 = (t42 - 1);
    t32 = (t45 * 1);
    xsi_vhdl_check_range_of_index(1, 15, 1, t42);
    t37 = (4U * t32);
    t38 = (0 + 8U);
    t39 = (t38 + t37);
    t6 = (t12 + t39);
    *((int *)t6) = t35;
    t6 = (t11 + 56U);
    t7 = *((char **)t6);
    t32 = (0 + 68U);
    t6 = (t7 + t32);
    t33 = *((int *)t6);
    t40 = (t35 - 1);
    t41 = (t40 / 16);
    t42 = (1 + t41);
    t45 = (t33 + t42);
    t9 = (t11 + 56U);
    t10 = *((char **)t9);
    t37 = (0 + 68U);
    t9 = (t10 + t37);
    *((int *)t9) = t45;
    t6 = (t5 + 56U);
    t7 = *((char **)t6);
    t33 = *((int *)t7);
    t40 = (t33 / t35);
    t6 = (t5 + 56U);
    t9 = *((char **)t6);
    t6 = (t9 + 0);
    *((int *)t6) = t40;
    t6 = (t17 + 56U);
    t7 = *((char **)t6);
    t33 = *((int *)t7);
    t40 = (t33 + 1);
    t6 = (t17 + 56U);
    t9 = *((char **)t6);
    t6 = (t9 + 0);
    *((int *)t6) = t40;
    t6 = (t23 + 56U);
    t7 = *((char **)t6);
    t6 = (t7 + 0);
    *((unsigned char *)t6) = (unsigned char)1;
    goto LAB25;

LAB29:    goto LAB27;

LAB31:    t6 = (t11 + 56U);
    t9 = *((char **)t6);
    t32 = (0 + 0U);
    t6 = (t9 + t32);
    *((unsigned char *)t6) = (unsigned char)0;
    goto LAB9;

LAB34:    goto LAB32;

LAB35:    t6 = (t11 + 56U);
    t9 = *((char **)t6);
    t32 = (0 + 0U);
    t6 = (t9 + t32);
    *((unsigned char *)t6) = (unsigned char)1;
    t6 = (t17 + 56U);
    t7 = *((char **)t6);
    t33 = *((int *)t7);
    t35 = (t33 - 1);
    t6 = (t11 + 56U);
    t9 = *((char **)t6);
    t32 = (0 + 4U);
    t6 = (t9 + t32);
    *((int *)t6) = t35;
    goto LAB36;

LAB38:;
}
示例#4
0
char *vl_p_2533777724_sub_1403708461877905176_2471646204(char *t1, char *t2, int t3)
{
    char t4[248];
    char t5[8];
    char t9[8];
    char t14[16];
    char t21[32];
    char *t0;
    char *t6;
    char *t7;
    char *t8;
    char *t10;
    char *t11;
    char *t12;
    char *t13;
    char *t15;
    char *t16;
    int t17;
    unsigned int t18;
    char *t19;
    char *t20;
    char *t22;
    char *t23;
    char *t24;
    char *t25;
    int t26;
    int t27;
    char *t28;
    char *t29;
    int t30;
    int t31;
    unsigned char t32;
    char *t33;
    int t34;
    char *t35;
    int t36;
    int t37;
    char *t38;
    int t39;
    unsigned int t40;
    unsigned int t41;
    char *t42;

LAB0:    t6 = (t4 + 4U);
    t7 = ((STD_STANDARD) + 384);
    t8 = (t6 + 88U);
    *((char **)t8) = t7;
    t10 = (t6 + 56U);
    *((char **)t10) = t9;
    *((int *)t9) = t3;
    t11 = (t6 + 80U);
    *((unsigned int *)t11) = 4U;
    t12 = (t1 + 4769);
    t15 = (t14 + 0U);
    t16 = (t15 + 0U);
    *((int *)t16) = 31;
    t16 = (t15 + 4U);
    *((int *)t16) = 0;
    t16 = (t15 + 8U);
    *((int *)t16) = -1;
    t17 = (0 - 31);
    t18 = (t17 * -1);
    t18 = (t18 + 1);
    t16 = (t15 + 12U);
    *((unsigned int *)t16) = t18;
    t16 = (t4 + 124U);
    t19 = ((STD_STANDARD) + 1080);
    t20 = (t16 + 88U);
    *((char **)t20) = t19;
    t22 = (t16 + 56U);
    *((char **)t22) = t21;
    memcpy(t21, t12, 32U);
    t23 = (t16 + 64U);
    *((char **)t23) = t14;
    t24 = (t16 + 80U);
    *((unsigned int *)t24) = 32U;
    t25 = (t5 + 4U);
    *((int *)t25) = t3;
    t26 = 0;
    t27 = 31;

LAB2:    if (t26 <= t27)
        goto LAB3;

LAB5:    t7 = (t16 + 56U);
    t8 = *((char **)t7);
    t7 = (t14 + 12U);
    t18 = *((unsigned int *)t7);
    t18 = (t18 * 1U);
    t0 = xsi_get_transient_memory(t18);
    memcpy(t0, t8, t18);
    t10 = (t14 + 0U);
    t17 = *((int *)t10);
    t11 = (t14 + 4U);
    t26 = *((int *)t11);
    t12 = (t14 + 8U);
    t27 = *((int *)t12);
    t13 = (t2 + 0U);
    t15 = (t13 + 0U);
    *((int *)t15) = t17;
    t15 = (t13 + 4U);
    *((int *)t15) = t26;
    t15 = (t13 + 8U);
    *((int *)t15) = t27;
    t30 = (t26 - t17);
    t40 = (t30 * t27);
    t40 = (t40 + 1);
    t15 = (t13 + 12U);
    *((unsigned int *)t15) = t40;

LAB1:    return t0;
LAB3:    t28 = (t6 + 56U);
    t29 = *((char **)t28);
    t30 = *((int *)t29);
    t31 = xsi_vhdl_mod(t30, 2);
    t32 = (t31 != 0);
    if (t32 != 0)
        goto LAB6;

LAB8:
LAB7:    t7 = (t6 + 56U);
    t8 = *((char **)t7);
    t17 = *((int *)t8);
    t30 = (t17 / 2);
    t7 = (t6 + 56U);
    t10 = *((char **)t7);
    t7 = (t10 + 0);
    *((int *)t7) = t30;

LAB4:    if (t26 == t27)
        goto LAB5;

LAB9:    t17 = (t26 + 1);
    t26 = t17;
    goto LAB2;

LAB6:    t28 = (t16 + 56U);
    t33 = *((char **)t28);
    t28 = (t14 + 0U);
    t34 = *((int *)t28);
    t35 = (t14 + 8U);
    t36 = *((int *)t35);
    t37 = (t26 - t34);
    t18 = (t37 * t36);
    t38 = (t14 + 4U);
    t39 = *((int *)t38);
    xsi_vhdl_check_range_of_index(t34, t39, t36, t26);
    t40 = (1U * t18);
    t41 = (0 + t40);
    t42 = (t33 + t41);
    *((unsigned char *)t42) = (unsigned char)1;
    goto LAB7;

LAB10:;
}
char *lmb_bram_if_cntlr_v2_10_b_a_0615717676_3306564128_sub_2336707405056216367_229454594(char *t1, char *t2, int t3, int t4, int t5, int t6, char *t7)
{
    char t8[368];
    char t9[40];
    char t10[16];
    char t16[16];
    char t22[16];
    char t29[8];
    char t32[16];
    char t38[16];
    char t79[16];
    char t80[16];
    char *t0;
    char *t11;
    char *t12;
    int t13;
    unsigned int t14;
    char *t15;
    char *t17;
    char *t18;
    int t19;
    char *t20;
    char *t21;
    char *t23;
    char *t24;
    char *t25;
    char *t26;
    char *t27;
    char *t28;
    char *t30;
    char *t31;
    char *t33;
    char *t34;
    int t35;
    char *t36;
    char *t37;
    char *t39;
    char *t40;
    char *t41;
    char *t42;
    char *t43;
    char *t44;
    char *t45;
    char *t46;
    char *t47;
    char *t48;
    unsigned char t49;
    char *t50;
    int t51;
    int t52;
    unsigned char t53;
    int t54;
    unsigned char t55;
    int t56;
    int t57;
    unsigned char t58;
    int t59;
    int t60;
    char *t61;
    int t62;
    char *t63;
    int t64;
    int t65;
    char *t66;
    int t67;
    unsigned int t68;
    unsigned int t69;
    char *t70;
    unsigned char t71;
    int t72;
    char *t73;
    char *t74;
    int t75;
    unsigned int t76;
    unsigned int t77;
    unsigned int t78;
    unsigned int t81;
    unsigned int t82;
    unsigned int t83;
    unsigned int t84;
    unsigned int t85;
    unsigned int t86;
    unsigned int t87;

LAB0:    t11 = (t10 + 0U);
    t12 = (t11 + 0U);
    *((int *)t12) = 0;
    t12 = (t11 + 4U);
    *((int *)t12) = 31;
    t12 = (t11 + 8U);
    *((int *)t12) = 1;
    t13 = (31 - 0);
    t14 = (t13 * 1);
    t14 = (t14 + 1);
    t12 = (t11 + 12U);
    *((unsigned int *)t12) = t14;
    t12 = (t1 + 8292);
    t17 = (t16 + 0U);
    t18 = (t17 + 0U);
    *((int *)t18) = 15;
    t18 = (t17 + 4U);
    *((int *)t18) = 0;
    t18 = (t17 + 8U);
    *((int *)t18) = -1;
    t19 = (0 - 15);
    t14 = (t19 * -1);
    t14 = (t14 + 1);
    t18 = (t17 + 12U);
    *((unsigned int *)t18) = t14;
    t18 = (t8 + 4U);
    t20 = ((STD_STANDARD) + 1080);
    t21 = (t18 + 88U);
    *((char **)t21) = t20;
    t23 = (t18 + 56U);
    *((char **)t23) = t22;
    memcpy(t22, t12, 16U);
    t24 = (t18 + 64U);
    *((char **)t24) = t16;
    t25 = (t18 + 80U);
    *((unsigned int *)t25) = 16U;
    t26 = (t8 + 124U);
    t27 = ((STD_STANDARD) + 384);
    t28 = (t26 + 88U);
    *((char **)t28) = t27;
    t30 = (t26 + 56U);
    *((char **)t30) = t29;
    *((int *)t29) = 0;
    t31 = (t26 + 80U);
    *((unsigned int *)t31) = 4U;
    t33 = (t32 + 0U);
    t34 = (t33 + 0U);
    *((int *)t34) = 3;
    t34 = (t33 + 4U);
    *((int *)t34) = 0;
    t34 = (t33 + 8U);
    *((int *)t34) = -1;
    t35 = (0 - 3);
    t14 = (t35 * -1);
    t14 = (t14 + 1);
    t34 = (t33 + 12U);
    *((unsigned int *)t34) = t14;
    t34 = (t8 + 244U);
    t36 = (t1 + 4944);
    t37 = (t34 + 88U);
    *((char **)t37) = t36;
    t39 = (t34 + 56U);
    *((char **)t39) = t38;
    xsi_type_set_default_value(t36, t38, 0);
    t40 = (t34 + 64U);
    t41 = (t36 + 72U);
    t42 = *((char **)t41);
    *((char **)t40) = t42;
    t43 = (t34 + 80U);
    *((unsigned int *)t43) = 16U;
    t44 = (t9 + 4U);
    *((int *)t44) = t3;
    t45 = (t9 + 8U);
    *((int *)t45) = t4;
    t46 = (t9 + 12U);
    *((int *)t46) = t5;
    t47 = (t9 + 16U);
    *((int *)t47) = t6;
    t48 = (t9 + 20U);
    t49 = (t7 != 0);
    if (t49 == 1)
        goto LAB3;

LAB2:    t50 = (t9 + 28U);
    *((char **)t50) = t10;
    t51 = 0;
    t52 = 3;

LAB4:    if (t51 <= t52)
        goto LAB5;

LAB7:    t11 = (t34 + 56U);
    t12 = *((char **)t11);
    t13 = (3 - 3);
    t14 = (t13 * -1);
    t68 = (4U * t14);
    t69 = (0 + t68);
    t11 = (t12 + t69);
    t19 = *((int *)t11);
    t35 = (t19 * 8);
    t15 = (t34 + 56U);
    t17 = *((char **)t15);
    t51 = (2 - 3);
    t76 = (t51 * -1);
    t77 = (4U * t76);
    t78 = (0 + t77);
    t15 = (t17 + t78);
    t52 = *((int *)t15);
    t54 = (t52 * 4);
    t56 = (t35 + t54);
    t20 = (t34 + 56U);
    t21 = *((char **)t20);
    t57 = (1 - 3);
    t81 = (t57 * -1);
    t82 = (4U * t81);
    t83 = (0 + t82);
    t20 = (t21 + t83);
    t59 = *((int *)t20);
    t60 = (t59 * 2);
    t62 = (t56 + t60);
    t23 = (t34 + 56U);
    t24 = *((char **)t23);
    t64 = (0 - 3);
    t84 = (t64 * -1);
    t85 = (4U * t84);
    t86 = (0 + t85);
    t23 = (t24 + t86);
    t65 = *((int *)t23);
    t67 = (t65 * 1);
    t72 = (t62 + t67);
    t75 = xsi_vhdl_pow(2, t72);
    t25 = ieee_p_3499444699_sub_17544701978858283880_3536714472(IEEE_P_3499444699, t80, t75, 16);
    t27 = ieee_p_2592010699_sub_12303121079769504865_503743352(IEEE_P_2592010699, t79, t25, t80, (unsigned char)0);
    t28 = (t18 + 56U);
    t30 = *((char **)t28);
    t28 = (t30 + 0);
    t31 = (t79 + 12U);
    t87 = *((unsigned int *)t31);
    t87 = (t87 * 1U);
    memcpy(t28, t27, t87);
    t11 = (t18 + 56U);
    t12 = *((char **)t11);
    t11 = (t16 + 12U);
    t14 = *((unsigned int *)t11);
    t14 = (t14 * 1U);
    t0 = xsi_get_transient_memory(t14);
    memcpy(t0, t12, t14);
    t15 = (t16 + 0U);
    t13 = *((int *)t15);
    t17 = (t16 + 4U);
    t19 = *((int *)t17);
    t20 = (t16 + 8U);
    t35 = *((int *)t20);
    t21 = (t2 + 0U);
    t23 = (t21 + 0U);
    *((int *)t23) = t13;
    t23 = (t21 + 4U);
    *((int *)t23) = t19;
    t23 = (t21 + 8U);
    *((int *)t23) = t35;
    t51 = (t19 - t13);
    t68 = (t51 * t35);
    t68 = (t68 + 1);
    t23 = (t21 + 12U);
    *((unsigned int *)t23) = t68;

LAB1:    return t0;
LAB3:    *((char **)t48) = t7;
    goto LAB2;

LAB5:    t54 = (t5 - 1);
    t55 = (t3 < t54);
    if (t55 == 1)
        goto LAB11;

LAB12:    t56 = (t4 - 1);
    t57 = xsi_vhdl_mod(t56, 4);
    t58 = (t51 <= t57);
    t53 = t58;

LAB13:    if (t53 != 0)
        goto LAB8;

LAB10:    t11 = (t34 + 56U);
    t12 = *((char **)t11);
    t13 = (t51 - 3);
    t14 = (t13 * -1);
    xsi_vhdl_check_range_of_index(3, 0, -1, t51);
    t68 = (4U * t14);
    t69 = (0 + t68);
    t11 = (t12 + t69);
    *((int *)t11) = 0;

LAB9:
LAB6:    if (t51 == t52)
        goto LAB7;

LAB14:    t13 = (t51 + 1);
    t51 = t13;
    goto LAB4;

LAB8:    t59 = (t3 * 4);
    t60 = (t59 + t51);
    t61 = (t10 + 0U);
    t62 = *((int *)t61);
    t63 = (t10 + 8U);
    t64 = *((int *)t63);
    t65 = (t60 - t62);
    t14 = (t65 * t64);
    t66 = (t10 + 4U);
    t67 = *((int *)t66);
    xsi_vhdl_check_range_of_index(t62, t67, t64, t60);
    t68 = (1U * t14);
    t69 = (0 + t68);
    t70 = (t7 + t69);
    t71 = *((unsigned char *)t70);
    t72 = ieee_std_logic_arith_conv_integer_ulogic(IEEE_P_3499444699, t71);
    t73 = (t34 + 56U);
    t74 = *((char **)t73);
    t75 = (t51 - 3);
    t76 = (t75 * -1);
    xsi_vhdl_check_range_of_index(3, 0, -1, t51);
    t77 = (4U * t76);
    t78 = (0 + t77);
    t73 = (t74 + t78);
    *((int *)t73) = t72;
    goto LAB9;

LAB11:    t53 = (unsigned char)1;
    goto LAB13;

LAB15:;
}
char *xilinxcorelib_a_0832240265_3212880686_sub_1811184187178659029_3057020925(char *t1, char *t2, int t3, int t4)
{
    char t5[248];
    char t6[16];
    char t10[8];
    char t16[16];
    char t39[16];
    char *t0;
    char *t7;
    char *t8;
    char *t9;
    char *t11;
    char *t12;
    int t13;
    int t14;
    unsigned int t15;
    int t17;
    char *t18;
    char *t19;
    int t20;
    unsigned int t21;
    char *t22;
    char *t23;
    char *t24;
    char *t25;
    char *t26;
    char *t27;
    char *t28;
    char *t29;
    unsigned char t30;
    int t31;
    int t32;
    char *t33;
    char *t34;
    int t35;
    int t36;
    int t37;
    unsigned int t38;

LAB0:    t7 = (t5 + 4U);
    t8 = ((STD_STANDARD) + 384);
    t9 = (t7 + 88U);
    *((char **)t9) = t8;
    t11 = (t7 + 56U);
    *((char **)t11) = t10;
    *((int *)t10) = t3;
    t12 = (t7 + 80U);
    *((unsigned int *)t12) = 4U;
    t13 = (t4 - 1);
    t14 = (0 - t13);
    t15 = (t14 * -1);
    t15 = (t15 + 1);
    t15 = (t15 * 1U);
    t17 = (t4 - 1);
    t18 = (t16 + 0U);
    t19 = (t18 + 0U);
    *((int *)t19) = t17;
    t19 = (t18 + 4U);
    *((int *)t19) = 0;
    t19 = (t18 + 8U);
    *((int *)t19) = -1;
    t20 = (0 - t17);
    t21 = (t20 * -1);
    t21 = (t21 + 1);
    t19 = (t18 + 12U);
    *((unsigned int *)t19) = t21;
    t19 = (t5 + 124U);
    t22 = ((IEEE_P_2592010699) + 4000);
    t23 = (t19 + 88U);
    *((char **)t23) = t22;
    t24 = (char *)alloca(t15);
    t25 = (t19 + 56U);
    *((char **)t25) = t24;
    xsi_type_set_default_value(t22, t24, t16);
    t26 = (t19 + 64U);
    *((char **)t26) = t16;
    t27 = (t19 + 80U);
    *((unsigned int *)t27) = t15;
    t28 = (t6 + 4U);
    *((int *)t28) = t3;
    t29 = (t6 + 8U);
    *((int *)t29) = t4;
    t30 = (t3 < 0);
    if (t30 != 0)
        goto LAB2;

LAB4:
LAB3:    t13 = (t4 - 1);
    t14 = 0;
    t17 = t13;

LAB5:    if (t14 <= t17)
        goto LAB6;

LAB8:    t30 = (t3 < 0);
    if (t30 != 0)
        goto LAB13;

LAB15:    t8 = (t19 + 56U);
    t9 = *((char **)t8);
    t8 = (t16 + 12U);
    t15 = *((unsigned int *)t8);
    t15 = (t15 * 1U);
    t0 = xsi_get_transient_memory(t15);
    memcpy(t0, t9, t15);
    t11 = (t16 + 0U);
    t13 = *((int *)t11);
    t12 = (t16 + 4U);
    t14 = *((int *)t12);
    t18 = (t16 + 8U);
    t17 = *((int *)t18);
    t22 = (t2 + 0U);
    t23 = (t22 + 0U);
    *((int *)t23) = t13;
    t23 = (t22 + 4U);
    *((int *)t23) = t14;
    t23 = (t22 + 8U);
    *((int *)t23) = t17;
    t20 = (t14 - t13);
    t21 = (t20 * t17);
    t21 = (t21 + 1);
    t23 = (t22 + 12U);
    *((unsigned int *)t23) = t21;

LAB1:    return t0;
LAB2:    t31 = (1 * t3);
    t32 = (-(t31));
    t33 = (t7 + 56U);
    t34 = *((char **)t33);
    t33 = (t34 + 0);
    *((int *)t33) = t32;
    goto LAB3;

LAB6:    t8 = (t7 + 56U);
    t9 = *((char **)t8);
    t20 = *((int *)t9);
    t31 = xsi_vhdl_mod(t20, 2);
    t30 = (t31 == 0);
    if (t30 != 0)
        goto LAB9;

LAB11:    t8 = (t19 + 56U);
    t9 = *((char **)t8);
    t8 = (t16 + 0U);
    t13 = *((int *)t8);
    t11 = (t16 + 8U);
    t20 = *((int *)t11);
    t31 = (t14 - t13);
    t15 = (t31 * t20);
    t12 = (t16 + 4U);
    t32 = *((int *)t12);
    xsi_vhdl_check_range_of_index(t13, t32, t20, t14);
    t21 = (1U * t15);
    t38 = (0 + t21);
    t18 = (t9 + t38);
    *((unsigned char *)t18) = (unsigned char)3;

LAB10:    t8 = (t7 + 56U);
    t9 = *((char **)t8);
    t13 = *((int *)t9);
    t20 = (t13 / 2);
    t8 = (t7 + 56U);
    t11 = *((char **)t8);
    t8 = (t11 + 0);
    *((int *)t8) = t20;

LAB7:    if (t14 == t17)
        goto LAB8;

LAB12:    t13 = (t14 + 1);
    t14 = t13;
    goto LAB5;

LAB9:    t8 = (t19 + 56U);
    t11 = *((char **)t8);
    t8 = (t16 + 0U);
    t32 = *((int *)t8);
    t12 = (t16 + 8U);
    t35 = *((int *)t12);
    t36 = (t14 - t32);
    t15 = (t36 * t35);
    t18 = (t16 + 4U);
    t37 = *((int *)t18);
    xsi_vhdl_check_range_of_index(t32, t37, t35, t14);
    t21 = (1U * t15);
    t38 = (0 + t21);
    t22 = (t11 + t38);
    *((unsigned char *)t22) = (unsigned char)2;
    goto LAB10;

LAB13:    t8 = (t19 + 56U);
    t9 = *((char **)t8);
    t8 = xilinxcorelib_a_0832240265_3212880686_sub_8867900095544329021_3057020925(t1, t39, t9, t16);
    t11 = (t39 + 12U);
    t15 = *((unsigned int *)t11);
    t15 = (t15 * 1U);
    t0 = xsi_get_transient_memory(t15);
    memcpy(t0, t8, t15);
    t12 = (t39 + 0U);
    t13 = *((int *)t12);
    t18 = (t39 + 4U);
    t14 = *((int *)t18);
    t22 = (t39 + 8U);
    t17 = *((int *)t22);
    t23 = (t2 + 0U);
    t25 = (t23 + 0U);
    *((int *)t25) = t13;
    t25 = (t23 + 4U);
    *((int *)t25) = t14;
    t25 = (t23 + 8U);
    *((int *)t25) = t17;
    t20 = (t14 - t13);
    t21 = (t20 * t17);
    t21 = (t21 + 1);
    t25 = (t23 + 12U);
    *((unsigned int *)t25) = t21;
    goto LAB1;

LAB14:    xsi_error(ng2);
    t0 = 0;
    goto LAB1;

LAB16:    goto LAB14;

LAB17:    goto LAB14;

}
static void work_a_0069711872_3212880686_p_0(char *t0)
{
    char *t1;
    char *t2;
    unsigned char t3;
    unsigned char t4;
    char *t5;
    char *t6;
    char *t7;
    char *t8;
    unsigned char t9;
    unsigned char t10;
    unsigned char t11;
    unsigned char t12;
    unsigned char t13;
    int t14;
    unsigned char t15;
    int t16;
    unsigned char t17;
    int t18;
    unsigned char t19;
    char *t20;
    int t21;
    unsigned char t22;
    unsigned char t23;
    char *t24;
    int t25;
    unsigned char t26;
    char *t27;
    int t28;
    unsigned char t29;
    char *t30;
    int t31;
    unsigned char t32;
    char *t33;
    int t34;
    int t35;
    int t36;
    char *t37;
    char *t38;
    char *t39;
    char *t40;

LAB0:    xsi_set_current_line(59, ng0);
    t1 = (t0 + 1352U);
    t2 = *((char **)t1);
    t3 = *((unsigned char *)t2);
    t4 = (t3 == (unsigned char)3);
    if (t4 != 0)
        goto LAB2;

LAB4:    t1 = (t0 + 1152U);
    t3 = ieee_p_2592010699_sub_1744673427_503743352(IEEE_P_2592010699, t1, 0U, 0U);
    if (t3 != 0)
        goto LAB5;

LAB6:
LAB3:    t1 = (t0 + 5104);
    *((int *)t1) = 1;

LAB1:    return;
LAB2:    xsi_set_current_line(60, ng0);
    t1 = (t0 + 5248);
    t5 = (t1 + 56U);
    t6 = *((char **)t5);
    t7 = (t6 + 56U);
    t8 = *((char **)t7);
    *((int *)t8) = 0;
    xsi_driver_first_trans_fast(t1);
    xsi_set_current_line(60, ng0);
    t1 = (t0 + 5312);
    t2 = (t1 + 56U);
    t5 = *((char **)t2);
    t6 = (t5 + 56U);
    t7 = *((char **)t6);
    *((int *)t7) = 0;
    xsi_driver_first_trans_fast(t1);
    xsi_set_current_line(60, ng0);
    t1 = (t0 + 5376);
    t2 = (t1 + 56U);
    t5 = *((char **)t2);
    t6 = (t5 + 56U);
    t7 = *((char **)t6);
    *((int *)t7) = 0;
    xsi_driver_first_trans_fast(t1);
    xsi_set_current_line(60, ng0);
    t1 = (t0 + 5440);
    t2 = (t1 + 56U);
    t5 = *((char **)t2);
    t6 = (t5 + 56U);
    t7 = *((char **)t6);
    *((int *)t7) = 0;
    xsi_driver_first_trans_fast(t1);
    goto LAB3;

LAB5:    xsi_set_current_line(62, ng0);
    t2 = (t0 + 1032U);
    t5 = *((char **)t2);
    t9 = *((unsigned char *)t5);
    t10 = (t9 == (unsigned char)3);
    if (t10 == 1)
        goto LAB10;

LAB11:    t4 = (unsigned char)0;

LAB12:    if (t4 != 0)
        goto LAB7;

LAB9:
LAB8:    goto LAB3;

LAB7:    xsi_set_current_line(64, ng0);
    t2 = (t0 + 2632U);
    t24 = *((char **)t2);
    t25 = *((int *)t24);
    t26 = (t25 == 9);
    if (t26 != 0)
        goto LAB22;

LAB24:
LAB23:    xsi_set_current_line(73, ng0);
    t1 = (t0 + 2632U);
    t2 = *((char **)t1);
    t14 = *((int *)t2);
    t16 = (t14 + 1);
    t18 = xsi_vhdl_mod(t16, 10);
    t1 = (t0 + 5440);
    t5 = (t1 + 56U);
    t6 = *((char **)t5);
    t7 = (t6 + 56U);
    t8 = *((char **)t7);
    *((int *)t8) = t18;
    xsi_driver_first_trans_fast(t1);
    goto LAB8;

LAB10:    t2 = (t0 + 2632U);
    t6 = *((char **)t2);
    t14 = *((int *)t6);
    t15 = (t14 == 9);
    if (t15 == 1)
        goto LAB19;

LAB20:    t13 = (unsigned char)0;

LAB21:    if (t13 == 1)
        goto LAB16;

LAB17:    t12 = (unsigned char)0;

LAB18:    if (t12 == 1)
        goto LAB13;

LAB14:    t11 = (unsigned char)0;

LAB15:    t23 = (!(t11));
    t4 = t23;
    goto LAB12;

LAB13:    t2 = (t0 + 2152U);
    t20 = *((char **)t2);
    t21 = *((int *)t20);
    t22 = (t21 == 9);
    t11 = t22;
    goto LAB15;

LAB16:    t2 = (t0 + 2312U);
    t8 = *((char **)t2);
    t18 = *((int *)t8);
    t19 = (t18 == 9);
    t12 = t19;
    goto LAB18;

LAB19:    t2 = (t0 + 2472U);
    t7 = *((char **)t2);
    t16 = *((int *)t7);
    t17 = (t16 == 9);
    t13 = t17;
    goto LAB21;

LAB22:    xsi_set_current_line(65, ng0);
    t2 = (t0 + 2472U);
    t27 = *((char **)t2);
    t28 = *((int *)t27);
    t29 = (t28 == 9);
    if (t29 != 0)
        goto LAB25;

LAB27:
LAB26:    xsi_set_current_line(71, ng0);
    t1 = (t0 + 2472U);
    t2 = *((char **)t1);
    t14 = *((int *)t2);
    t16 = (t14 + 1);
    t18 = xsi_vhdl_mod(t16, 10);
    t1 = (t0 + 5376);
    t5 = (t1 + 56U);
    t6 = *((char **)t5);
    t7 = (t6 + 56U);
    t8 = *((char **)t7);
    *((int *)t8) = t18;
    xsi_driver_first_trans_fast(t1);
    goto LAB23;

LAB25:    xsi_set_current_line(66, ng0);
    t2 = (t0 + 2312U);
    t30 = *((char **)t2);
    t31 = *((int *)t30);
    t32 = (t31 == 9);
    if (t32 != 0)
        goto LAB28;

LAB30:
LAB29:    xsi_set_current_line(69, ng0);
    t1 = (t0 + 2312U);
    t2 = *((char **)t1);
    t14 = *((int *)t2);
    t16 = (t14 + 1);
    t18 = xsi_vhdl_mod(t16, 10);
    t1 = (t0 + 5312);
    t5 = (t1 + 56U);
    t6 = *((char **)t5);
    t7 = (t6 + 56U);
    t8 = *((char **)t7);
    *((int *)t8) = t18;
    xsi_driver_first_trans_fast(t1);
    goto LAB26;

LAB28:    xsi_set_current_line(67, ng0);
    t2 = (t0 + 2152U);
    t33 = *((char **)t2);
    t34 = *((int *)t33);
    t35 = (t34 + 1);
    t36 = xsi_vhdl_mod(t35, 10);
    t2 = (t0 + 5248);
    t37 = (t2 + 56U);
    t38 = *((char **)t37);
    t39 = (t38 + 56U);
    t40 = *((char **)t39);
    *((int *)t40) = t36;
    xsi_driver_first_trans_fast(t2);
    goto LAB29;

}
static void work_a_4143924007_3212880686_p_1(char *t0)
{
    char *t1;
    char *t2;
    unsigned char t3;
    char *t4;
    char *t5;
    char *t6;
    char *t7;
    unsigned char t8;
    unsigned char t9;
    char *t10;
    char *t11;
    int t12;
    static char *nl0[] = {&&LAB4, &&LAB5, &&LAB6, &&LAB7, &&LAB3, &&LAB8, &&LAB9};

LAB0:    xsi_set_current_line(325, ng0);
    t1 = (t0 + 5032U);
    t2 = *((char **)t1);
    t3 = *((unsigned char *)t2);
    t1 = (t0 + 8448);
    t4 = (t1 + 56U);
    t5 = *((char **)t4);
    t6 = (t5 + 56U);
    t7 = *((char **)t6);
    *((unsigned char *)t7) = t3;
    xsi_driver_first_trans_fast(t1);
    xsi_set_current_line(327, ng0);
    t1 = (t0 + 5032U);
    t2 = *((char **)t1);
    t3 = *((unsigned char *)t2);
    t1 = (char *)((nl0) + t3);
    goto **((char **)t1);

LAB2:    t1 = (t0 + 7904);
    *((int *)t1) = 1;

LAB1:    return;
LAB3:    xsi_set_current_line(330, ng0);
    t4 = (t0 + 1352U);
    t5 = *((char **)t4);
    t8 = *((unsigned char *)t5);
    t9 = (t8 == (unsigned char)2);
    if (t9 != 0)
        goto LAB10;

LAB12:    xsi_set_current_line(333, ng0);
    t1 = (t0 + 8448);
    t2 = (t1 + 56U);
    t4 = *((char **)t2);
    t5 = (t4 + 56U);
    t6 = *((char **)t5);
    *((unsigned char *)t6) = (unsigned char)0;
    xsi_driver_first_trans_fast(t1);

LAB11:    goto LAB2;

LAB4:    xsi_set_current_line(337, ng0);
    t1 = (t0 + 4552U);
    t2 = *((char **)t1);
    t12 = *((int *)t2);
    t3 = (t12 == 15);
    if (t3 != 0)
        goto LAB13;

LAB15:    xsi_set_current_line(340, ng0);
    t1 = (t0 + 8448);
    t2 = (t1 + 56U);
    t4 = *((char **)t2);
    t5 = (t4 + 56U);
    t6 = *((char **)t5);
    *((unsigned char *)t6) = (unsigned char)0;
    xsi_driver_first_trans_fast(t1);

LAB14:    goto LAB2;

LAB5:    xsi_set_current_line(344, ng0);
    t1 = (t0 + 4552U);
    t2 = *((char **)t1);
    t12 = *((int *)t2);
    t3 = (t12 == 31);
    if (t3 != 0)
        goto LAB16;

LAB18:    xsi_set_current_line(347, ng0);
    t1 = (t0 + 8448);
    t2 = (t1 + 56U);
    t4 = *((char **)t2);
    t5 = (t4 + 56U);
    t6 = *((char **)t5);
    *((unsigned char *)t6) = (unsigned char)1;
    xsi_driver_first_trans_fast(t1);

LAB17:    goto LAB2;

LAB6:    xsi_set_current_line(351, ng0);
    t1 = (t0 + 4552U);
    t2 = *((char **)t1);
    t12 = *((int *)t2);
    t3 = (t12 == 47);
    if (t3 != 0)
        goto LAB19;

LAB21:    xsi_set_current_line(354, ng0);
    t1 = (t0 + 8448);
    t2 = (t1 + 56U);
    t4 = *((char **)t2);
    t5 = (t4 + 56U);
    t6 = *((char **)t5);
    *((unsigned char *)t6) = (unsigned char)2;
    xsi_driver_first_trans_fast(t1);

LAB20:    goto LAB2;

LAB7:    xsi_set_current_line(358, ng0);
    t1 = (t0 + 4552U);
    t2 = *((char **)t1);
    t12 = *((int *)t2);
    t3 = (t12 == 63);
    if (t3 != 0)
        goto LAB22;

LAB24:    xsi_set_current_line(361, ng0);
    t1 = (t0 + 8448);
    t2 = (t1 + 56U);
    t4 = *((char **)t2);
    t5 = (t4 + 56U);
    t6 = *((char **)t5);
    *((unsigned char *)t6) = (unsigned char)3;
    xsi_driver_first_trans_fast(t1);

LAB23:    goto LAB2;

LAB8:    xsi_set_current_line(365, ng0);
    t1 = (t0 + 8448);
    t2 = (t1 + 56U);
    t4 = *((char **)t2);
    t5 = (t4 + 56U);
    t6 = *((char **)t5);
    *((unsigned char *)t6) = (unsigned char)4;
    xsi_driver_first_trans_fast(t1);
    goto LAB2;

LAB9:    xsi_set_current_line(368, ng0);
    goto LAB2;

LAB10:    xsi_set_current_line(331, ng0);
    t4 = (t0 + 8448);
    t6 = (t4 + 56U);
    t7 = *((char **)t6);
    t10 = (t7 + 56U);
    t11 = *((char **)t10);
    *((unsigned char *)t11) = (unsigned char)4;
    xsi_driver_first_trans_fast(t4);
    goto LAB11;

LAB13:    xsi_set_current_line(338, ng0);
    t1 = (t0 + 8448);
    t4 = (t1 + 56U);
    t5 = *((char **)t4);
    t6 = (t5 + 56U);
    t7 = *((char **)t6);
    *((unsigned char *)t7) = (unsigned char)1;
    xsi_driver_first_trans_fast(t1);
    goto LAB14;

LAB16:    xsi_set_current_line(345, ng0);
    t1 = (t0 + 8448);
    t4 = (t1 + 56U);
    t5 = *((char **)t4);
    t6 = (t5 + 56U);
    t7 = *((char **)t6);
    *((unsigned char *)t7) = (unsigned char)2;
    xsi_driver_first_trans_fast(t1);
    goto LAB17;

LAB19:    xsi_set_current_line(352, ng0);
    t1 = (t0 + 8448);
    t4 = (t1 + 56U);
    t5 = *((char **)t4);
    t6 = (t5 + 56U);
    t7 = *((char **)t6);
    *((unsigned char *)t7) = (unsigned char)3;
    xsi_driver_first_trans_fast(t1);
    goto LAB20;

LAB22:    xsi_set_current_line(359, ng0);
    t1 = (t0 + 8448);
    t4 = (t1 + 56U);
    t5 = *((char **)t4);
    t6 = (t5 + 56U);
    t7 = *((char **)t6);
    *((unsigned char *)t7) = (unsigned char)5;
    xsi_driver_first_trans_fast(t1);
    goto LAB23;

}

static void work_a_4143924007_3212880686_p_2(char *t0)
{
    char t8[16];
    char t9[16];
    char t10[16];
    char t11[16];
    char t12[16];
    char t18[16];
    char t30[16];
    char t45[16];
    char *t1;
    char *t2;
    char *t3;
    char *t4;
    char *t5;
    char *t6;
    char *t7;
    int t13;
    int t14;
    unsigned int t15;
    unsigned int t16;
    unsigned int t17;
    char *t19;
    char *t20;
    int t21;
    unsigned int t22;
    char *t23;
    char *t24;
    char *t25;
    int t26;
    int t27;
    unsigned int t28;
    unsigned int t29;
    char *t31;
    char *t32;
    int t33;
    unsigned int t34;
    char *t35;
    char *t36;
    char *t37;
    char *t38;
    char *t39;
    char *t40;
    int t41;
    int t42;
    unsigned int t43;
    unsigned int t44;
    char *t46;
    char *t47;
    int t48;
    unsigned int t49;
    int t50;
    char *t51;
    char *t52;
    unsigned int t53;
    unsigned char t54;
    char *t55;
    char *t56;
    char *t57;
    char *t58;
    char *t59;
    static char *nl0[] = {&&LAB9, &&LAB10, &&LAB11, &&LAB12, &&LAB8, &&LAB13, &&LAB14};

LAB0:    xsi_set_current_line(377, ng0);
    t1 = (t0 + 8512);
    t2 = (t1 + 56U);
    t3 = *((char **)t2);
    t4 = (t3 + 56U);
    t5 = *((char **)t4);
    *((unsigned char *)t5) = (unsigned char)2;
    xsi_driver_first_trans_fast_port(t1);
    xsi_set_current_line(378, ng0);
    t1 = xsi_get_transient_memory(32U);
    memset(t1, 0, 32U);
    t2 = t1;
    memset(t2, (unsigned char)2, 32U);
    t3 = (t0 + 8576);
    t4 = (t3 + 56U);
    t5 = *((char **)t4);
    t6 = (t5 + 56U);
    t7 = *((char **)t6);
    memcpy(t7, t1, 32U);
    xsi_driver_first_trans_fast_port(t3);
    xsi_set_current_line(379, ng0);
    t1 = xsi_get_transient_memory(32U);
    memset(t1, 0, 32U);
    t2 = t1;
    memset(t2, (unsigned char)2, 32U);
    t3 = (t0 + 8640);
    t4 = (t3 + 56U);
    t5 = *((char **)t4);
    t6 = (t5 + 56U);
    t7 = *((char **)t6);
    memcpy(t7, t1, 32U);
    xsi_driver_first_trans_fast_port(t3);
    xsi_set_current_line(380, ng0);
    t1 = xsi_get_transient_memory(32U);
    memset(t1, 0, 32U);
    t2 = t1;
    memset(t2, (unsigned char)2, 32U);
    t3 = (t0 + 8704);
    t4 = (t3 + 56U);
    t5 = *((char **)t4);
    t6 = (t5 + 56U);
    t7 = *((char **)t6);
    memcpy(t7, t1, 32U);
    xsi_driver_first_trans_fast_port(t3);
    xsi_set_current_line(381, ng0);
    t1 = xsi_get_transient_memory(32U);
    memset(t1, 0, 32U);
    t2 = t1;
    memset(t2, (unsigned char)2, 32U);
    t3 = (t0 + 8768);
    t4 = (t3 + 56U);
    t5 = *((char **)t4);
    t6 = (t5 + 56U);
    t7 = *((char **)t6);
    memcpy(t7, t1, 32U);
    xsi_driver_first_trans_fast_port(t3);
    xsi_set_current_line(382, ng0);
    t1 = xsi_get_transient_memory(32U);
    memset(t1, 0, 32U);
    t2 = t1;
    memset(t2, (unsigned char)2, 32U);
    t3 = (t0 + 8832);
    t4 = (t3 + 56U);
    t5 = *((char **)t4);
    t6 = (t5 + 56U);
    t7 = *((char **)t6);
    memcpy(t7, t1, 32U);
    xsi_driver_first_trans_fast(t3);
    xsi_set_current_line(383, ng0);
    t1 = (t0 + 8896);
    t2 = (t1 + 56U);
    t3 = *((char **)t2);
    t4 = (t3 + 56U);
    t5 = *((char **)t4);
    *((int *)t5) = 0;
    xsi_driver_first_trans_fast(t1);
    xsi_set_current_line(385, ng0);
    t1 = (t0 + 2792U);
    t2 = *((char **)t1);
    t1 = (t0 + 8960);
    t3 = (t1 + 56U);
    t4 = *((char **)t3);
    t5 = (t4 + 56U);
    t6 = *((char **)t5);
    memcpy(t6, t2, 512U);
    xsi_driver_first_trans_fast(t1);
    xsi_set_current_line(409, ng0);
    t1 = (t0 + 3752U);
    t2 = *((char **)t1);
    t1 = (t0 + 9024);
    t3 = (t1 + 56U);
    t4 = *((char **)t3);
    t5 = (t4 + 56U);
    t6 = *((char **)t5);
    memcpy(t6, t2, 32U);
    xsi_driver_first_trans_fast(t1);
    xsi_set_current_line(410, ng0);
    t1 = (t0 + 3432U);
    t2 = *((char **)t1);
    t1 = (t0 + 9088);
    t3 = (t1 + 56U);
    t4 = *((char **)t3);
    t5 = (t4 + 56U);
    t6 = *((char **)t5);
    memcpy(t6, t2, 32U);
    xsi_driver_first_trans_fast(t1);
    xsi_set_current_line(411, ng0);
    t1 = (t0 + 3432U);
    t2 = *((char **)t1);
    t1 = (t0 + 15204U);
    t3 = (t0 + 3112U);
    t4 = *((char **)t3);
    t3 = (t0 + 15204U);
    t5 = (t0 + 6088U);
    t6 = *((char **)t5);
    t5 = (t0 + 4552U);
    t7 = *((char **)t5);
    t13 = *((int *)t7);
    t14 = (t13 - 63);
    t15 = (t14 * -1);
    xsi_vhdl_check_range_of_index(63, 0, -1, t13);
    t16 = (32U * t15);
    t17 = (0 + t16);
    t5 = (t6 + t17);
    t19 = (t18 + 0U);
    t20 = (t19 + 0U);
    *((int *)t20) = 31;
    t20 = (t19 + 4U);
    *((int *)t20) = 0;
    t20 = (t19 + 8U);
    *((int *)t20) = -1;
    t21 = (0 - 31);
    t22 = (t21 * -1);
    t22 = (t22 + 1);
    t20 = (t19 + 12U);
    *((unsigned int *)t20) = t22;
    t20 = ieee_p_1242562249_sub_1547198987_1035706684(IEEE_P_1242562249, t12, t4, t3, t5, t18);
    t23 = (t0 + 2792U);
    t24 = *((char **)t23);
    t23 = (t0 + 4872U);
    t25 = *((char **)t23);
    t26 = *((int *)t25);
    t27 = (t26 - 15);
    t22 = (t27 * -1);
    xsi_vhdl_check_range_of_index(15, 0, -1, t26);
    t28 = (32U * t22);
    t29 = (0 + t28);
    t23 = (t24 + t29);
    t31 = (t30 + 0U);
    t32 = (t31 + 0U);
    *((int *)t32) = 31;
    t32 = (t31 + 4U);
    *((int *)t32) = 0;
    t32 = (t31 + 8U);
    *((int *)t32) = -1;
    t33 = (0 - 31);
    t34 = (t33 * -1);
    t34 = (t34 + 1);
    t32 = (t31 + 12U);
    *((unsigned int *)t32) = t34;
    t32 = ieee_p_1242562249_sub_1547198987_1035706684(IEEE_P_1242562249, t11, t20, t12, t23, t30);
    t35 = (t0 + 4392U);
    t36 = *((char **)t35);
    t35 = (t0 + 15204U);
    t37 = ieee_p_1242562249_sub_1547198987_1035706684(IEEE_P_1242562249, t10, t32, t11, t36, t35);
    t38 = (t0 + 5968U);
    t39 = *((char **)t38);
    t38 = (t0 + 4552U);
    t40 = *((char **)t38);
    t41 = *((int *)t40);
    t42 = (t41 - 63);
    t34 = (t42 * -1);
    xsi_vhdl_check_range_of_index(63, 0, -1, t41);
    t43 = (8U * t34);
    t44 = (0 + t43);
    t38 = (t39 + t44);
    t46 = (t45 + 0U);
    t47 = (t46 + 0U);
    *((int *)t47) = 7;
    t47 = (t46 + 4U);
    *((int *)t47) = 0;
    t47 = (t46 + 8U);
    *((int *)t47) = -1;
    t48 = (0 - 7);
    t49 = (t48 * -1);
    t49 = (t49 + 1);
    t47 = (t46 + 12U);
    *((unsigned int *)t47) = t49;
    t50 = ieee_p_1242562249_sub_1657552908_1035706684(IEEE_P_1242562249, t38, t45);
    t47 = ieee_p_1242562249_sub_4109847776_1035706684(IEEE_P_1242562249, t9, t37, t10, t50);
    t51 = ieee_p_1242562249_sub_1547198987_1035706684(IEEE_P_1242562249, t8, t2, t1, t47, t9);
    t52 = (t8 + 12U);
    t49 = *((unsigned int *)t52);
    t53 = (1U * t49);
    t54 = (32U != t53);
    if (t54 == 1)
        goto LAB2;

LAB3:    t55 = (t0 + 9152);
    t56 = (t55 + 56U);
    t57 = *((char **)t56);
    t58 = (t57 + 56U);
    t59 = *((char **)t58);
    memcpy(t59, t51, 32U);
    xsi_driver_first_trans_fast(t55);
    xsi_set_current_line(413, ng0);
    t1 = (t0 + 4072U);
    t2 = *((char **)t1);
    t1 = (t0 + 9216);
    t3 = (t1 + 56U);
    t4 = *((char **)t3);
    t5 = (t4 + 56U);
    t6 = *((char **)t5);
    memcpy(t6, t2, 32U);
    xsi_driver_first_trans_fast(t1);
    xsi_set_current_line(414, ng0);
    t1 = (t0 + 4552U);
    t2 = *((char **)t1);
    t13 = *((int *)t2);
    t54 = (t13 < 63);
    if (t54 != 0)
        goto LAB4;

LAB6:    xsi_set_current_line(417, ng0);
    t1 = (t0 + 4552U);
    t2 = *((char **)t1);
    t13 = *((int *)t2);
    t1 = (t0 + 9280);
    t3 = (t1 + 56U);
    t4 = *((char **)t3);
    t5 = (t4 + 56U);
    t6 = *((char **)t5);
    *((int *)t6) = t13;
    xsi_driver_first_trans_fast(t1);

LAB5:    xsi_set_current_line(420, ng0);
    t1 = (t0 + 5032U);
    t2 = *((char **)t1);
    t54 = *((unsigned char *)t2);
    t1 = (char *)((nl0) + t54);
    goto **((char **)t1);

LAB2:    xsi_size_not_matching(32U, t53, 0);
    goto LAB3;

LAB4:    xsi_set_current_line(415, ng0);
    t1 = (t0 + 4552U);
    t3 = *((char **)t1);
    t14 = *((int *)t3);
    t21 = (t14 + 1);
    t1 = (t0 + 9280);
    t4 = (t1 + 56U);
    t5 = *((char **)t4);
    t6 = (t5 + 56U);
    t7 = *((char **)t6);
    *((int *)t7) = t21;
    xsi_driver_first_trans_fast(t1);
    goto LAB5;

LAB7:    t1 = (t0 + 7920);
    *((int *)t1) = 1;

LAB1:    return;
LAB8:    xsi_set_current_line(423, ng0);
    t3 = (t0 + 9280);
    t4 = (t3 + 56U);
    t5 = *((char **)t4);
    t6 = (t5 + 56U);
    t7 = *((char **)t6);
    *((int *)t7) = 0;
    xsi_driver_first_trans_fast(t3);
    xsi_set_current_line(424, ng0);
    t1 = (t0 + 5488U);
    t2 = *((char **)t1);
    t1 = (t0 + 9216);
    t3 = (t1 + 56U);
    t4 = *((char **)t3);
    t5 = (t4 + 56U);
    t6 = *((char **)t5);
    memcpy(t6, t2, 32U);
    xsi_driver_first_trans_fast(t1);
    xsi_set_current_line(425, ng0);
    t1 = (t0 + 5608U);
    t2 = *((char **)t1);
    t1 = (t0 + 9152);
    t3 = (t1 + 56U);
    t4 = *((char **)t3);
    t5 = (t4 + 56U);
    t6 = *((char **)t5);
    memcpy(t6, t2, 32U);
    xsi_driver_first_trans_fast(t1);
    xsi_set_current_line(426, ng0);
    t1 = (t0 + 5728U);
    t2 = *((char **)t1);
    t1 = (t0 + 9088);
    t3 = (t1 + 56U);
    t4 = *((char **)t3);
    t5 = (t4 + 56U);
    t6 = *((char **)t5);
    memcpy(t6, t2, 32U);
    xsi_driver_first_trans_fast(t1);
    xsi_set_current_line(427, ng0);
    t1 = (t0 + 5848U);
    t2 = *((char **)t1);
    t1 = (t0 + 9024);
    t3 = (t1 + 56U);
    t4 = *((char **)t3);
    t5 = (t4 + 56U);
    t6 = *((char **)t5);
    memcpy(t6, t2, 32U);
    xsi_driver_first_trans_fast(t1);
    xsi_set_current_line(429, ng0);
    t1 = (t0 + 1512U);
    t2 = *((char **)t1);
    t1 = (t0 + 8960);
    t3 = (t1 + 56U);
    t4 = *((char **)t3);
    t5 = (t4 + 56U);
    t6 = *((char **)t5);
    memcpy(t6, t2, 32U);
    xsi_driver_first_trans_delta(t1, 480U, 32U, 0LL);
    xsi_set_current_line(430, ng0);
    t1 = (t0 + 1672U);
    t2 = *((char **)t1);
    t1 = (t0 + 8960);
    t3 = (t1 + 56U);
    t4 = *((char **)t3);
    t5 = (t4 + 56U);
    t6 = *((char **)t5);
    memcpy(t6, t2, 32U);
    xsi_driver_first_trans_delta(t1, 448U, 32U, 0LL);
    xsi_set_current_line(431, ng0);
    t1 = (t0 + 19414);
    t3 = (t0 + 1832U);
    t4 = *((char **)t3);
    t5 = ((IEEE_P_2592010699) + 4024);
    t6 = (t9 + 0U);
    t7 = (t6 + 0U);
    *((int *)t7) = 0;
    t7 = (t6 + 4U);
    *((int *)t7) = 23;
    t7 = (t6 + 8U);
    *((int *)t7) = 1;
    t13 = (23 - 0);
    t15 = (t13 * 1);
    t15 = (t15 + 1);
    t7 = (t6 + 12U);
    *((unsigned int *)t7) = t15;
    t7 = (t0 + 15028U);
    t3 = xsi_base_array_concat(t3, t8, t5, (char)97, t1, t9, (char)97, t4, t7, (char)101);
    t19 = (t0 + 8960);
    t20 = (t19 + 56U);
    t23 = *((char **)t20);
    t24 = (t23 + 56U);
    t25 = *((char **)t24);
    memcpy(t25, t3, 32U);
    xsi_driver_first_trans_delta(t19, 32U, 32U, 0LL);
    goto LAB7;

LAB9:    xsi_set_current_line(433, ng0);
    t1 = (t0 + 3432U);
    t2 = *((char **)t1);
    t1 = (t0 + 15204U);
    t3 = (t0 + 3752U);
    t4 = *((char **)t3);
    t3 = (t0 + 15204U);
    t5 = ieee_p_1242562249_sub_1854260743_1035706684(IEEE_P_1242562249, t9, t2, t1, t4, t3);
    t6 = (t0 + 3432U);
    t7 = *((char **)t6);
    t6 = (t0 + 15204U);
    t19 = ieee_p_1242562249_sub_2892387531_1035706684(IEEE_P_1242562249, t11, t7, t6);
    t20 = (t0 + 4072U);
    t23 = *((char **)t20);
    t20 = (t0 + 15204U);
    t24 = ieee_p_1242562249_sub_1854260743_1035706684(IEEE_P_1242562249, t10, t19, t11, t23, t20);
    t25 = ieee_p_1242562249_sub_2794316277_1035706684(IEEE_P_1242562249, t8, t5, t9, t24, t10);
    t31 = (t8 + 12U);
    t15 = *((unsigned int *)t31);
    t16 = (1U * t15);
    t54 = (32U != t16);
    if (t54 == 1)
        goto LAB15;

LAB16:    t32 = (t0 + 8832);
    t35 = (t32 + 56U);
    t36 = *((char **)t35);
    t37 = (t36 + 56U);
    t38 = *((char **)t37);
    memcpy(t38, t25, 32U);
    xsi_driver_first_trans_fast(t32);
    xsi_set_current_line(434, ng0);
    t1 = (t0 + 4552U);
    t2 = *((char **)t1);
    t13 = *((int *)t2);
    t1 = (t0 + 8896);
    t3 = (t1 + 56U);
    t4 = *((char **)t3);
    t5 = (t4 + 56U);
    t6 = *((char **)t5);
    *((int *)t6) = t13;
    xsi_driver_first_trans_fast(t1);
    goto LAB7;

LAB10:    xsi_set_current_line(436, ng0);
    t1 = (t0 + 4072U);
    t2 = *((char **)t1);
    t1 = (t0 + 15204U);
    t3 = (t0 + 3432U);
    t4 = *((char **)t3);
    t3 = (t0 + 15204U);
    t5 = ieee_p_1242562249_sub_1854260743_1035706684(IEEE_P_1242562249, t9, t2, t1, t4, t3);
    t6 = (t0 + 4072U);
    t7 = *((char **)t6);
    t6 = (t0 + 15204U);
    t19 = ieee_p_1242562249_sub_2892387531_1035706684(IEEE_P_1242562249, t11, t7, t6);
    t20 = (t0 + 3752U);
    t23 = *((char **)t20);
    t20 = (t0 + 15204U);
    t24 = ieee_p_1242562249_sub_1854260743_1035706684(IEEE_P_1242562249, t10, t19, t11, t23, t20);
    t25 = ieee_p_1242562249_sub_2794316277_1035706684(IEEE_P_1242562249, t8, t5, t9, t24, t10);
    t31 = (t8 + 12U);
    t15 = *((unsigned int *)t31);
    t16 = (1U * t15);
    t54 = (32U != t16);
    if (t54 == 1)
        goto LAB17;

LAB18:    t32 = (t0 + 8832);
    t35 = (t32 + 56U);
    t36 = *((char **)t35);
    t37 = (t36 + 56U);
    t38 = *((char **)t37);
    memcpy(t38, t25, 32U);
    xsi_driver_first_trans_fast(t32);
    xsi_set_current_line(437, ng0);
    t1 = (t0 + 4552U);
    t2 = *((char **)t1);
    t13 = *((int *)t2);
    t14 = (5 * t13);
    t21 = (t14 + 1);
    t26 = xsi_vhdl_mod(t21, 16);
    t1 = (t0 + 8896);
    t3 = (t1 + 56U);
    t4 = *((char **)t3);
    t5 = (t4 + 56U);
    t6 = *((char **)t5);
    *((int *)t6) = t26;
    xsi_driver_first_trans_fast(t1);
    goto LAB7;

LAB11:    xsi_set_current_line(439, ng0);
    t1 = (t0 + 3432U);
    t2 = *((char **)t1);
    t1 = (t0 + 15204U);
    t3 = (t0 + 3752U);
    t4 = *((char **)t3);
    t3 = (t0 + 15204U);
    t5 = ieee_p_1242562249_sub_2756063821_1035706684(IEEE_P_1242562249, t9, t2, t1, t4, t3);
    t6 = (t0 + 4072U);
    t7 = *((char **)t6);
    t6 = (t0 + 15204U);
    t19 = ieee_p_1242562249_sub_2756063821_1035706684(IEEE_P_1242562249, t8, t5, t9, t7, t6);
    t20 = (t8 + 12U);
    t15 = *((unsigned int *)t20);
    t16 = (1U * t15);
    t54 = (32U != t16);
    if (t54 == 1)
        goto LAB19;

LAB20:    t23 = (t0 + 8832);
    t24 = (t23 + 56U);
    t25 = *((char **)t24);
    t31 = (t25 + 56U);
    t32 = *((char **)t31);
    memcpy(t32, t19, 32U);
    xsi_driver_first_trans_fast(t23);
    xsi_set_current_line(440, ng0);
    t1 = (t0 + 4552U);
    t2 = *((char **)t1);
    t13 = *((int *)t2);
    t14 = (3 * t13);
    t21 = (t14 + 5);
    t26 = xsi_vhdl_mod(t21, 16);
    t1 = (t0 + 8896);
    t3 = (t1 + 56U);
    t4 = *((char **)t3);
    t5 = (t4 + 56U);
    t6 = *((char **)t5);
    *((int *)t6) = t26;
    xsi_driver_first_trans_fast(t1);
    goto LAB7;

LAB12:    xsi_set_current_line(442, ng0);
    t1 = (t0 + 3752U);
    t2 = *((char **)t1);
    t1 = (t0 + 15204U);
    t3 = (t0 + 3432U);
    t4 = *((char **)t3);
    t3 = (t0 + 15204U);
    t5 = (t0 + 4072U);
    t6 = *((char **)t5);
    t5 = (t0 + 15204U);
    t7 = ieee_p_1242562249_sub_2892387531_1035706684(IEEE_P_1242562249, t10, t6, t5);
    t19 = ieee_p_1242562249_sub_2794316277_1035706684(IEEE_P_1242562249, t9, t4, t3, t7, t10);
    t20 = ieee_p_1242562249_sub_2756063821_1035706684(IEEE_P_1242562249, t8, t2, t1, t19, t9);
    t23 = (t8 + 12U);
    t15 = *((unsigned int *)t23);
    t16 = (1U * t15);
    t54 = (32U != t16);
    if (t54 == 1)
        goto LAB21;

LAB22:    t24 = (t0 + 8832);
    t25 = (t24 + 56U);
    t31 = *((char **)t25);
    t32 = (t31 + 56U);
    t35 = *((char **)t32);
    memcpy(t35, t20, 32U);
    xsi_driver_first_trans_fast(t24);
    xsi_set_current_line(443, ng0);
    t1 = (t0 + 4552U);
    t2 = *((char **)t1);
    t13 = *((int *)t2);
    t14 = (7 * t13);
    t21 = xsi_vhdl_mod(t14, 16);
    t1 = (t0 + 8896);
    t3 = (t1 + 56U);
    t4 = *((char **)t3);
    t5 = (t4 + 56U);
    t6 = *((char **)t5);
    *((int *)t6) = t21;
    xsi_driver_first_trans_fast(t1);
    goto LAB7;

LAB13:    xsi_set_current_line(445, ng0);
    t1 = (t0 + 8512);
    t2 = (t1 + 56U);
    t3 = *((char **)t2);
    t4 = (t3 + 56U);
    t5 = *((char **)t4);
    *((unsigned char *)t5) = (unsigned char)3;
    xsi_driver_first_trans_fast_port(t1);
    xsi_set_current_line(446, ng0);
    t1 = (t0 + 5488U);
    t2 = *((char **)t1);
    t1 = (t0 + 15108U);
    t3 = (t0 + 3112U);
    t4 = *((char **)t3);
    t3 = (t0 + 15204U);
    t5 = ieee_p_1242562249_sub_1547198987_1035706684(IEEE_P_1242562249, t8, t2, t1, t4, t3);
    t6 = (t8 + 12U);
    t15 = *((unsigned int *)t6);
    t16 = (1U * t15);
    t54 = (32U != t16);
    if (t54 == 1)
        goto LAB23;

LAB24:    t7 = (t0 + 8576);
    t19 = (t7 + 56U);
    t20 = *((char **)t19);
    t23 = (t20 + 56U);
    t24 = *((char **)t23);
    memcpy(t24, t5, 32U);
    xsi_driver_first_trans_fast_port(t7);
    xsi_set_current_line(447, ng0);
    t1 = (t0 + 5608U);
    t2 = *((char **)t1);
    t1 = (t0 + 15124U);
    t3 = (t0 + 3432U);
    t4 = *((char **)t3);
    t3 = (t0 + 15204U);
    t5 = ieee_p_1242562249_sub_1547198987_1035706684(IEEE_P_1242562249, t8, t2, t1, t4, t3);
    t6 = (t8 + 12U);
    t15 = *((unsigned int *)t6);
    t16 = (1U * t15);
    t54 = (32U != t16);
    if (t54 == 1)
        goto LAB25;

LAB26:    t7 = (t0 + 8640);
    t19 = (t7 + 56U);
    t20 = *((char **)t19);
    t23 = (t20 + 56U);
    t24 = *((char **)t23);
    memcpy(t24, t5, 32U);
    xsi_driver_first_trans_fast_port(t7);
    xsi_set_current_line(448, ng0);
    t1 = (t0 + 5728U);
    t2 = *((char **)t1);
    t1 = (t0 + 15140U);
    t3 = (t0 + 3752U);
    t4 = *((char **)t3);
    t3 = (t0 + 15204U);
    t5 = ieee_p_1242562249_sub_1547198987_1035706684(IEEE_P_1242562249, t8, t2, t1, t4, t3);
    t6 = (t8 + 12U);
    t15 = *((unsigned int *)t6);
    t16 = (1U * t15);
    t54 = (32U != t16);
    if (t54 == 1)
        goto LAB27;

LAB28:    t7 = (t0 + 8704);
    t19 = (t7 + 56U);
    t20 = *((char **)t19);
    t23 = (t20 + 56U);
    t24 = *((char **)t23);
    memcpy(t24, t5, 32U);
    xsi_driver_first_trans_fast_port(t7);
    xsi_set_current_line(449, ng0);
    t1 = (t0 + 5848U);
    t2 = *((char **)t1);
    t1 = (t0 + 15156U);
    t3 = (t0 + 4072U);
    t4 = *((char **)t3);
    t3 = (t0 + 15204U);
    t5 = ieee_p_1242562249_sub_1547198987_1035706684(IEEE_P_1242562249, t8, t2, t1, t4, t3);
    t6 = (t8 + 12U);
    t15 = *((unsigned int *)t6);
    t16 = (1U * t15);
    t54 = (32U != t16);
    if (t54 == 1)
        goto LAB29;

LAB30:    t7 = (t0 + 8768);
    t19 = (t7 + 56U);
    t20 = *((char **)t19);
    t23 = (t20 + 56U);
    t24 = *((char **)t23);
    memcpy(t24, t5, 32U);
    xsi_driver_first_trans_fast_port(t7);
    goto LAB7;

LAB14:    xsi_set_current_line(450, ng0);
    goto LAB7;

LAB15:    xsi_size_not_matching(32U, t16, 0);
    goto LAB16;

LAB17:    xsi_size_not_matching(32U, t16, 0);
    goto LAB18;

LAB19:    xsi_size_not_matching(32U, t16, 0);
    goto LAB20;

LAB21:    xsi_size_not_matching(32U, t16, 0);
    goto LAB22;

LAB23:    xsi_size_not_matching(32U, t16, 0);
    goto LAB24;

LAB25:    xsi_size_not_matching(32U, t16, 0);
    goto LAB26;

LAB27:    xsi_size_not_matching(32U, t16, 0);
    goto LAB28;

LAB29:    xsi_size_not_matching(32U, t16, 0);
    goto LAB30;

}


extern void work_a_4143924007_3212880686_init()
{
	static char *pe[] = {(void *)work_a_4143924007_3212880686_p_0,(void *)work_a_4143924007_3212880686_p_1,(void *)work_a_4143924007_3212880686_p_2};
	xsi_register_didat("work_a_4143924007_3212880686", "isim/tb_brutus_dual_isim_beh.exe.sim/work/a_4143924007_3212880686.didat");
	xsi_register_executes(pe);
}
char *work_a_2894181355_3212880686_sub_602852083_3057020925(char *t1, char *t2, int t3, int t4)
{
    char t5[144];
    char t6[16];
    char t10[16];
    char t25[8];
    char *t0;
    int t7;
    int t8;
    unsigned int t9;
    int t11;
    char *t12;
    char *t13;
    int t14;
    unsigned int t15;
    char *t16;
    char *t17;
    char *t18;
    char *t19;
    char *t20;
    char *t21;
    char *t22;
    char *t23;
    char *t24;
    char *t26;
    char *t27;
    char *t28;
    char *t29;
    char *t30;
    char *t31;
    int t32;
    unsigned char t33;
    int t34;
    int t35;
    int t36;
    int t37;
    unsigned int t38;

LAB0:    t7 = (t4 - 1);
    t8 = (0 - t7);
    t9 = (t8 * -1);
    t9 = (t9 + 1);
    t9 = (t9 * 1U);
    t11 = (t4 - 1);
    t12 = (t10 + 0U);
    t13 = (t12 + 0U);
    *((int *)t13) = t11;
    t13 = (t12 + 4U);
    *((int *)t13) = 0;
    t13 = (t12 + 8U);
    *((int *)t13) = -1;
    t14 = (0 - t11);
    t15 = (t14 * -1);
    t15 = (t15 + 1);
    t13 = (t12 + 12U);
    *((unsigned int *)t13) = t15;
    t13 = (t5 + 4U);
    t16 = ((IEEE_P_2592010699) + 2332);
    t17 = (t13 + 52U);
    *((char **)t17) = t16;
    t18 = (char *)alloca(t9);
    t19 = (t13 + 36U);
    *((char **)t19) = t18;
    xsi_type_set_default_value(t16, t18, t10);
    t20 = (t13 + 40U);
    *((char **)t20) = t10;
    t21 = (t13 + 48U);
    *((unsigned int *)t21) = t9;
    t22 = (t5 + 72U);
    t23 = ((STD_STANDARD) + 240);
    t24 = (t22 + 52U);
    *((char **)t24) = t23;
    t26 = (t22 + 36U);
    *((char **)t26) = t25;
    xsi_type_set_default_value(t23, t25, 0);
    t27 = (t22 + 48U);
    *((unsigned int *)t27) = 4U;
    t28 = (t6 + 4U);
    *((int *)t28) = t3;
    t29 = (t6 + 8U);
    *((int *)t29) = t4;
    t30 = (t22 + 36U);
    t31 = *((char **)t30);
    t30 = (t31 + 0);
    *((int *)t30) = t3;
    t7 = (t4 - 1);
    t8 = 0;
    t11 = t7;

LAB2:    if (t8 <= t11)
        goto LAB3;

LAB5:    t12 = (t13 + 36U);
    t16 = *((char **)t12);
    t12 = (t10 + 12U);
    t9 = *((unsigned int *)t12);
    t9 = (t9 * 1U);
    t0 = xsi_get_transient_memory(t9);
    memcpy(t0, t16, t9);
    t17 = (t10 + 0U);
    t7 = *((int *)t17);
    t19 = (t10 + 4U);
    t8 = *((int *)t19);
    t20 = (t10 + 8U);
    t11 = *((int *)t20);
    t21 = (t2 + 0U);
    t23 = (t21 + 0U);
    *((int *)t23) = t7;
    t23 = (t21 + 4U);
    *((int *)t23) = t8;
    t23 = (t21 + 8U);
    *((int *)t23) = t11;
    t14 = (t8 - t7);
    t15 = (t14 * t11);
    t15 = (t15 + 1);
    t23 = (t21 + 12U);
    *((unsigned int *)t23) = t15;

LAB1:    return t0;
LAB3:    t12 = (t22 + 36U);
    t16 = *((char **)t12);
    t14 = *((int *)t16);
    t32 = xsi_vhdl_mod(t14, 2);
    t33 = (t32 == 1);
    if (t33 != 0)
        goto LAB6;

LAB8:    t12 = (t13 + 36U);
    t16 = *((char **)t12);
    t12 = (t10 + 0U);
    t7 = *((int *)t12);
    t17 = (t10 + 8U);
    t14 = *((int *)t17);
    t32 = (t8 - t7);
    t9 = (t32 * t14);
    t19 = (t10 + 4U);
    t34 = *((int *)t19);
    xsi_vhdl_check_range_of_index(t7, t34, t14, t8);
    t15 = (1U * t9);
    t38 = (0 + t15);
    t20 = (t16 + t38);
    *((unsigned char *)t20) = (unsigned char)2;

LAB7:    t12 = (t22 + 36U);
    t16 = *((char **)t12);
    t7 = *((int *)t16);
    t14 = (t7 / 2);
    t12 = (t22 + 36U);
    t17 = *((char **)t12);
    t12 = (t17 + 0);
    *((int *)t12) = t14;

LAB4:    if (t8 == t11)
        goto LAB5;

LAB9:    t7 = (t8 + 1);
    t8 = t7;
    goto LAB2;

LAB6:    t12 = (t13 + 36U);
    t17 = *((char **)t12);
    t12 = (t10 + 0U);
    t34 = *((int *)t12);
    t19 = (t10 + 8U);
    t35 = *((int *)t19);
    t36 = (t8 - t34);
    t9 = (t36 * t35);
    t20 = (t10 + 4U);
    t37 = *((int *)t20);
    xsi_vhdl_check_range_of_index(t34, t37, t35, t8);
    t15 = (1U * t9);
    t38 = (0 + t15);
    t21 = (t17 + t38);
    *((unsigned char *)t21) = (unsigned char)3;
    goto LAB7;

LAB10:;
}
static void work_a_2287590165_2372691052_p_1(char *t0)
{
    char t17[16];
    char *t1;
    char *t2;
    char *t3;
    char *t4;
    char *t5;
    char *t6;
    char *t7;
    char *t8;
    int64 t9;
    int t10;
    int t11;
    int t12;
    char *t13;
    char *t14;
    char *t15;
    int64 t16;
    unsigned int t18;
    unsigned int t19;
    unsigned char t20;
    unsigned char t21;

LAB0:    t1 = (t0 + 1900U);
    t2 = *((char **)t1);
    if (t2 == 0)
        goto LAB2;

LAB3:    goto *t2;

LAB2:    xsi_set_current_line(50, ng0);
    t2 = (t0 + 4064);
    t4 = (t0 + 2168);
    t5 = (t4 + 32U);
    t6 = *((char **)t5);
    t7 = (t6 + 40U);
    t8 = *((char **)t7);
    memcpy(t8, t2, 4U);
    xsi_driver_first_trans_fast(t4);
    xsi_set_current_line(51, ng0);
    t2 = (t0 + 4068);
    t4 = (t0 + 2204);
    t5 = (t4 + 32U);
    t6 = *((char **)t5);
    t7 = (t6 + 40U);
    t8 = *((char **)t7);
    memcpy(t8, t2, 4U);
    xsi_driver_first_trans_fast(t4);
    xsi_set_current_line(52, ng0);
    t2 = (t0 + 4072);
    t4 = (t0 + 2240);
    t5 = (t4 + 32U);
    t6 = *((char **)t5);
    t7 = (t6 + 40U);
    t8 = *((char **)t7);
    memcpy(t8, t2, 2U);
    xsi_driver_first_trans_fast(t4);
    xsi_set_current_line(53, ng0);
    t9 = (100 * 1000LL);
    t2 = (t0 + 1800);
    xsi_process_wait(t2, t9);

LAB6:    *((char **)t1) = &&LAB7;

LAB1:    return;
LAB4:    xsi_set_current_line(54, ng0);
    t2 = (t0 + 1200U);
    t3 = *((char **)t2);
    t10 = *((int *)t3);
    t2 = (t0 + 4074);
    *((int *)t2) = 0;
    t4 = (t0 + 4078);
    *((int *)t4) = t10;
    t11 = 0;
    t12 = t10;

LAB8:    if (t11 <= t12)
        goto LAB9;

LAB11:    xsi_set_current_line(68, ng0);

LAB38:    *((char **)t1) = &&LAB39;
    goto LAB1;

LAB5:    goto LAB4;

LAB7:    goto LAB5;

LAB9:    xsi_set_current_line(55, ng0);
    t5 = (t0 + 4082);
    t7 = (t0 + 2240);
    t8 = (t7 + 32U);
    t13 = *((char **)t8);
    t14 = (t13 + 40U);
    t15 = *((char **)t14);
    memcpy(t15, t5, 2U);
    xsi_driver_first_trans_fast(t7);
    xsi_set_current_line(56, ng0);
    t2 = (t0 + 1132U);
    t3 = *((char **)t2);
    t9 = *((int64 *)t3);
    t16 = (t9 * 2);
    t2 = (t0 + 1800);
    xsi_process_wait(t2, t16);

LAB14:    *((char **)t1) = &&LAB15;
    goto LAB1;

LAB10:    t2 = (t0 + 4074);
    t11 = *((int *)t2);
    t3 = (t0 + 4078);
    t12 = *((int *)t3);
    if (t11 == t12)
        goto LAB11;

LAB35:    t10 = (t11 + 1);
    t11 = t10;
    t4 = (t0 + 4074);
    *((int *)t4) = t11;
    goto LAB8;

LAB12:    xsi_set_current_line(57, ng0);
    t2 = (t0 + 4084);
    t4 = (t0 + 2240);
    t5 = (t4 + 32U);
    t6 = *((char **)t5);
    t7 = (t6 + 40U);
    t8 = *((char **)t7);
    memcpy(t8, t2, 2U);
    xsi_driver_first_trans_fast(t4);
    xsi_set_current_line(58, ng0);
    t2 = (t0 + 1132U);
    t3 = *((char **)t2);
    t9 = *((int64 *)t3);
    t16 = (t9 * 2);
    t2 = (t0 + 1800);
    xsi_process_wait(t2, t16);

LAB18:    *((char **)t1) = &&LAB19;
    goto LAB1;

LAB13:    goto LAB12;

LAB15:    goto LAB13;

LAB16:    xsi_set_current_line(59, ng0);
    t2 = (t0 + 4086);
    t4 = (t0 + 2240);
    t5 = (t4 + 32U);
    t6 = *((char **)t5);
    t7 = (t6 + 40U);
    t8 = *((char **)t7);
    memcpy(t8, t2, 2U);
    xsi_driver_first_trans_fast(t4);
    xsi_set_current_line(60, ng0);
    t2 = (t0 + 1132U);
    t3 = *((char **)t2);
    t9 = *((int64 *)t3);
    t16 = (t9 * 2);
    t2 = (t0 + 1800);
    xsi_process_wait(t2, t16);

LAB22:    *((char **)t1) = &&LAB23;
    goto LAB1;

LAB17:    goto LAB16;

LAB19:    goto LAB17;

LAB20:    xsi_set_current_line(61, ng0);
    t2 = (t0 + 4088);
    t4 = (t0 + 2240);
    t5 = (t4 + 32U);
    t6 = *((char **)t5);
    t7 = (t6 + 40U);
    t8 = *((char **)t7);
    memcpy(t8, t2, 2U);
    xsi_driver_first_trans_fast(t4);
    xsi_set_current_line(62, ng0);
    t2 = (t0 + 1132U);
    t3 = *((char **)t2);
    t9 = *((int64 *)t3);
    t16 = (t9 * 2);
    t2 = (t0 + 1800);
    xsi_process_wait(t2, t16);

LAB26:    *((char **)t1) = &&LAB27;
    goto LAB1;

LAB21:    goto LAB20;

LAB23:    goto LAB21;

LAB24:    xsi_set_current_line(63, ng0);
    t2 = (t0 + 776U);
    t3 = *((char **)t2);
    t2 = (t0 + 3980U);
    t4 = ieee_p_0774719531_sub_436279890_2162500114(IEEE_P_0774719531, t17, t3, t2, 1);
    t5 = (t17 + 12U);
    t18 = *((unsigned int *)t5);
    t19 = (1U * t18);
    t20 = (4U != t19);
    if (t20 == 1)
        goto LAB28;

LAB29:    t6 = (t0 + 2168);
    t7 = (t6 + 32U);
    t8 = *((char **)t7);
    t13 = (t8 + 40U);
    t14 = *((char **)t13);
    memcpy(t14, t4, 4U);
    xsi_driver_first_trans_fast(t6);
    xsi_set_current_line(64, ng0);
    t2 = (t0 + 4074);
    t10 = xsi_vhdl_mod(*((int *)t2), 4);
    t20 = (t10 == 0);
    if (t20 != 0)
        goto LAB30;

LAB32:
LAB31:    goto LAB10;

LAB25:    goto LAB24;

LAB27:    goto LAB25;

LAB28:    xsi_size_not_matching(4U, t19, 0);
    goto LAB29;

LAB30:    xsi_set_current_line(65, ng0);
    t3 = (t0 + 868U);
    t4 = *((char **)t3);
    t3 = (t0 + 3996U);
    t5 = ieee_p_0774719531_sub_436279890_2162500114(IEEE_P_0774719531, t17, t4, t3, 1);
    t6 = (t17 + 12U);
    t18 = *((unsigned int *)t6);
    t19 = (1U * t18);
    t21 = (4U != t19);
    if (t21 == 1)
        goto LAB33;

LAB34:    t7 = (t0 + 2204);
    t8 = (t7 + 32U);
    t13 = *((char **)t8);
    t14 = (t13 + 40U);
    t15 = *((char **)t14);
    memcpy(t15, t5, 4U);
    xsi_driver_first_trans_fast(t7);
    goto LAB31;

LAB33:    xsi_size_not_matching(4U, t19, 0);
    goto LAB34;

LAB36:    goto LAB2;

LAB37:    goto LAB36;

LAB39:    goto LAB37;

}